fpga按键控制数码管显示.doc

上传人:白大夫 文档编号:3251852 上传时间:2019-08-06 格式:DOC 页数:3 大小:17KB
返回 下载 相关 举报
fpga按键控制数码管显示.doc_第1页
第1页 / 共3页
亲,该文档总共3页,到这儿已超出免费预览范围,如果喜欢就下载吧!
资源描述

《fpga按键控制数码管显示.doc》由会员分享,可在线阅读,更多相关《fpga按键控制数码管显示.doc(3页珍藏版)》请在三一文库上搜索。

1、fpga按键控制数码管显示按键与数码管显示LED数码管(LEDSegmentDisplays)是由多个发光二极管封装在一起组成8字型的器件,引线已在内部连接完成,只需引出它们的各个笔划,公共电极。LED数码管常用段数一般为7段有的另加一个小数点,还有一种是类似于3位+1型。位数有半位,1,2,3,4,5,6,8,10位等等。LED数码管根据LED的接法不同分为共阴和共阳两类,了解LED的这些特性,对编程是很重要的,因为不同类型的数码管,除了它们的硬件电路有差异外,编程方法也是不同的。图2是共阴和共阳极数码管的内部电路,它们的发光原理是一样的,只是它们的电源极性不同而已。颜色有红,绿,蓝,黄等几

2、种。modulekey_led(clk_50M,key,duan_ma,wei_ma);inputclk_50M;input3:0key;/key为输入的键码的值output3:0wei_ma;output7:0duan_ma;wire3:0key;reg7:0duan_ma;reg3:0wei_ma;reg3:0key_temp;/设置了一个寄存器always(posedgeclk_50M)beginkey_temp=key;/把键码的值赋给寄存器case(key_temp)4b0111:duan_ma=8b1100_0000;/段码,按键后,数码管显示04b1011:duan_ma=8b1

3、001_0000;/段码,数码管显示94b1101:duan_ma=8b1000_0010;/段码,数码管显示64b1110:duan_ma=8b1011_0000;/段码,数码管显示3endcaseendalways(posedgeclk_50M)begincase(key_temp)4b0111:wei_ma=4b0111;/位选信号4b1011:wei_ma=4b1011;4b1101:wei_ma=4b1101;4b1110:wei_ma=4b1110;endcaseendendmodule数码管静态显示0-7moduleled_0_7(clk,rst,dataout,en);inputclk,rst;output7:0dataout;/数码管的段码输出output7:0en;/数码管的位选使能输出reg7:0dataout;/各段数据输出reg7:0en;reg15:0cnt_scan;/扫描频率计数器reg4:0dataout_buf;always(posedgeclkornegedgerst)beginif(!rst)begincnt_scan=0;endelsebegincnt_scan=cnt_scan+1;endend

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 其他


经营许可证编号:宁ICP备18001539号-1