Verilog HDL语言的文件调用问题:include使用方法介绍.doc

上传人:白大夫 文档编号:3274790 上传时间:2019-08-07 格式:DOC 页数:2 大小:14KB
返回 下载 相关 举报
Verilog HDL语言的文件调用问题:include使用方法介绍.doc_第1页
第1页 / 共2页
亲,该文档总共2页,到这儿已超出免费预览范围,如果喜欢就下载吧!
资源描述

《Verilog HDL语言的文件调用问题:include使用方法介绍.doc》由会员分享,可在线阅读,更多相关《Verilog HDL语言的文件调用问题:include使用方法介绍.doc(2页珍藏版)》请在三一文库上搜索。

Verilog HDL语言的文件调用问题:include使用方法介绍讯:Verilog中可以使用预处理命令 include 文件名 来包含新文件。include 文件名的位置需要在 module声明之后。这里举个例子便于大家理解,param.h存放了参数LENTH,顶层mult.v使用了它。mult.v代码如下1 module mult (2 input clk,3 input rst,4 input LENTH-1:0 A,5 input LENTH-1:0 B,6 output LENTH-1:0 C7 );89 include param.h1011 reg LENTH-1:0 c_reg;1213 always(posedge clk or negedge rst)14 if(rst = 1b0)begin15 c_reg = 32b0;16 end17 else begin18 c_reg = A*B;19 end2021 assign C = c_reg;2223 endmodule24param.h代码如下1 parameter LENTH = 32;综合之后RTL图

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 其他


经营许可证编号:宁ICP备18001539号-1