Xilinx FPGA常用原语介绍.doc

上传人:白大夫 文档编号:3275268 上传时间:2019-08-07 格式:DOC 页数:3 大小:16.50KB
返回 下载 相关 举报
Xilinx FPGA常用原语介绍.doc_第1页
第1页 / 共3页
亲,该文档总共3页,到这儿已超出免费预览范围,如果喜欢就下载吧!
资源描述

《Xilinx FPGA常用原语介绍.doc》由会员分享,可在线阅读,更多相关《Xilinx FPGA常用原语介绍.doc(3页珍藏版)》请在三一文库上搜索。

1、Xilinx FPGA常用原语介绍原语,即primitive。不同的厂商,原语不同;同一家的FPGA,不同型号的芯片,可以也不一样;原语类似最底层的描述方法。使用原语的好处,可以直接例化使用,不用定制IP;即可通过复制原语的语句,然后例化IP,就可使用;Xilinx是通过直接修改原语中的参数再例化IP来使用;Xilinx公司的原语分为10类,包括:计算组件,IO端口组件,寄存器/锁存器,时钟组件,处理器组件,移位寄存器,配置和检测组件,RAM/ROM组件,Slice/CLB组件,G-tranceiver。下图所示为UG799中对原语的分类列表。项目中主要用到的原语与IO端口有关,所以基本在In

2、put/Output FuncTIons 和IO两类中。下面着重介绍实际中所用到的几个原语,芯片A7系列。1. IBUF和IBUFDS(IO)IBUF是输入缓存,一般vivado会自动给输入信号加上,IBUFDS是IBUF的差分形式,支持低压差分信号(如LVCMOS、LVDS等)。在IBUFDS中,一个电平接口用两个独特的电平接口(I和IB)表示。一个可以认为是主信号,另一个可以认为是从信号。主信号和从信号是同一个逻辑信号,但是相位相反。举例说明:LVDS_25的差分输入的ADC数据。IBUFDS #( / 差分输入原语,用于LVDS_25端口.DIFF_TERM(“TRUE”),.IOSTA

3、NDARD(“LVDS_25”) IBUFDS_ADC01 (.O(ADC01_Di),.I(ADC01_P_Di),.IB(ADC01_N_Di);2. IDDR(Input/Output FuncTIons)被设计用来接收DDR数据,避免额外的时序复杂性。举例说明:差分数据源经过差分转单端后,生产ADC01_D,在同步时钟ADC01_DCO的驱动下,产生DDR数据adc01_a_d和adc01_b_d。IDDR #(.DDR_CLK_EDGE(“SAME_EDGE_PIPELINED”),.INIT_Q1(1b0),.INIT_Q2(1b0),.SRTYPE(“SYNC”) IDDR_AD

4、C01_D (.Q1(adc01_a_d),.Q2(adc01_b_d),.C(ADC01_DCO),.CE(1b1),.D(ADC01_D),.R(1b0),.S(1b0);3. IBUFG和IBUFGDS(IO)IBUFG即输入全局缓冲,是与专用全局时钟输入管脚相连接的首级全局缓冲。所有从全局时钟管脚输入的信号必须经过IBUF元,否则在布局布线时会报错。 IBUFG支持AGP、CTT、GTL、GTLP、HSTL、LVCMOS、LVDCI、LVDS、LVPECL、LVTTL、PCI、PCIX和 SSTL等多种格式的IO标准。IBUFGDS是IBUFG的差分形式,当信号从一对差分全局时钟管脚输入时,必须使用IBUFGDS作为全局时钟输入缓冲。IBUFG支持BLVDS、LDT、LVDSEXT、LVDS、LVPECL和ULVDS等多种格式的IO标准。举例说明:差分时钟芯片输入的100MHz时钟,作为FPGA的全局时钟。IBUFGDS #(.DIFF_TERM (“TRUE” ),.IBUF_LOW_PWR (“FALSE”) u_ibufg_clk_100 (.I (FP_CLK_100M_P),.IB (FP_CLK_100M_N),.O (clk_100m );

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 其他


经营许可证编号:宁ICP备18001539号-1