Xilinx首次亮相的Virtex UltraScale+ HBM FPGA.doc

上传人:白大夫 文档编号:3275390 上传时间:2019-08-07 格式:DOC 页数:1 大小:12.50KB
返回 下载 相关 举报
Xilinx首次亮相的Virtex UltraScale+ HBM FPGA.doc_第1页
第1页 / 共1页
亲,该文档总共1页,全部预览完了,如果喜欢就下载吧!
资源描述

《Xilinx首次亮相的Virtex UltraScale+ HBM FPGA.doc》由会员分享,可在线阅读,更多相关《Xilinx首次亮相的Virtex UltraScale+ HBM FPGA.doc(1页珍藏版)》请在三一文库上搜索。

1、Xilinx首次亮相的Virtex UltraScale+ HBM FPGA早在2016年Xilinx就提出了开发Vir2852K 系统逻辑单元9Mbits BRAM资源270Mbits 的UltraRAM资源9024 集成8GB HBM 96 Gbps和32.75Gbps GTY SerDes 图1:Xilinx推出的Virtex UltraScale+ HBM系列FPGA图2:HDM与GPU/CPU/SoC集成方式随着人工智能、5G通信、大数据、云计算等应用的出现,人们对于通信带宽的要求也在不断的提高,这些应用需要高吞吐、低延迟、高密度部署等特性,传统的DDR SRAM技术不能满足人们对带

2、宽的需求,每秒增加1GB的带宽都将会产生更多的功耗,其技术发展已经进入了瓶颈期。HBM则就像摩天大厦中的楼层一样可以垂直堆叠。基于这种设计,信息交换的时间将会缩短,此外它重新调整了内存的功耗效率,使得每瓦带宽比相对于最先进的GDDR5高出了3倍多,即功耗降低3倍多。Xilinx首次亮相的Virtex UltraScale+ HBM FPGA:Xilinx最新推出的Virtex UltraScale+ HBM FPGA是基于UltraScale架构,采用16nm FinFET+工艺技术,集成最多高达8GB的HBM Gen2内存,可提供高达460GB/s的数据通信带宽。Xilinx All Programmable 3D IC 使用堆叠硅片互联 (SSI)技术,它打破了摩尔定律的限制并且实现了一系列有助于满足最严格设计要求的功能。最后虽然HBM技术能给我们提供更高的通信带宽,但是它还处在初级发展阶段,比如HBM需要更高的工艺技术因此会大幅度提升成本、大量的DRAM堆叠无疑会产生大量的热,如何散热也是极大的挑战。此外目前只有在高带宽通信应用中HBM才具有显著的优势,但是这应该是半导体行业发展的必然趋势。

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 其他


经营许可证编号:宁ICP备18001539号-1