毕业设计(论文)-FIR数字滤波器的FPGA实现.doc

上传人:西安人 文档编号:3281252 上传时间:2019-08-07 格式:DOC 页数:29 大小:634.54KB
返回 下载 相关 举报
毕业设计(论文)-FIR数字滤波器的FPGA实现.doc_第1页
第1页 / 共29页
毕业设计(论文)-FIR数字滤波器的FPGA实现.doc_第2页
第2页 / 共29页
毕业设计(论文)-FIR数字滤波器的FPGA实现.doc_第3页
第3页 / 共29页
毕业设计(论文)-FIR数字滤波器的FPGA实现.doc_第4页
第4页 / 共29页
毕业设计(论文)-FIR数字滤波器的FPGA实现.doc_第5页
第5页 / 共29页
点击查看更多>>
资源描述

《毕业设计(论文)-FIR数字滤波器的FPGA实现.doc》由会员分享,可在线阅读,更多相关《毕业设计(论文)-FIR数字滤波器的FPGA实现.doc(29页珍藏版)》请在三一文库上搜索。

1、毕毕 业业 设设 计(计( 论论 文文 ) 题 目: FIR 滤波器的 FPGA 实现 专 业: 班 级: 姓 名: 学 号: 指导教师: 日 期: 2011-5-28 目 录 第 1 章绪论1 1. 1 背景和选题依据1 1.2 国内外研究现状和发展动态 2 1.3 论文研究的目的和主要内容 2 第 2 章 FIR 的原理及技术 3 2.1 FIR 滤波器的特点.3 2.2 FIR 滤波器的基础.3 2.1.1FIR 滤波器的基本结构 .4 2.1.2FIR 滤波器的设计 .5 第 3 章 EDA 技术和可编程逻辑器件7 3.1 电子设计自动化 EDA 技术 7 3.2.1 可编程逻辑器件简

2、介 8 3.2.2 使用 FPGA 器件进行开发的优点.8 3.2.3FPGA 设计的开发流程 9 3.3 硬件描述语言 VHDL 及数字系统设计方法 10 3.3.1 硬件描述语言 VHDL 简介 10 3.3.2 利用硬件描述语言 VHDL 设计数字系统 11 第 4 章 基于 FPGA 的 FIR 滤波器硬件实现.11 4.1 器件介绍和系统开发环境 .11 4.1.1Virtex-系列结构和特点.11 4.1.2 开发工具简介 .14 4. 2 并行 FIR 数字滤波器简介.15 4.2.1 并行结构的改进 .15 4.2.2 模块的划分 .16 4. 3 串行 FIR 数字滤波器的硬

3、件实现.17 4.3.1 设计思想与实现 .17 4.3.2 各模块具体功能的设计实现 .17 4.3.3F I R 滤波器串行方式实现的系统分析 23 4.3.4 串行 FIR 滤波器的扩展应用 .24 4.3.5 小结 .24 第 5 章总结与展望.24 参考文献.25 英文翻译.26 1 FIR 数字滤波器的 FPGA 实现 【摘要】:随着科技的发展,电子电路的设计正逐渐摆脱传统的设计模式,而采用 FPGA 来设计电 子电路正成为设计的趋势。这是因为采用 FPGA 设计电子电路不仅开发时间短,资金投入相对少,且 可将电路板级产品集成为芯片级产品。纵观可编程逻辑器件的发展史,FPGA 在结

4、构原理、集成规模、 下载方式、逻辑设计手段等方面的每一次进步都为现代电子设计技术的革命与发展提供了不可或缺 的强大动力。在数字处理中,滤波占有重要的地位。数字滤波在语音和图像处理、HDTV、模式识别、 谱分析等应用中经常用到。有一限长冲激响应(FIR)滤波器,由于 FIR 系统只有一零点、系统稳定,便 于实现 FFT 算法、运算速度快、线性相位的特性和设计更为灵活等突出优点而在工程实际中获得广 泛应用。本文利用 FPGA 的高速可编程的特点进行 FIR 滤波器的设计来对探测信号中的干扰加以排 除。 【关键词】:FIRFIR FPGAFPGA VHDLVHDL 第 1 章绪论 1. 1 背景和选

5、题背景和选题依据依据 本课题的研究背景是针对总装各部某重点预研课题的探测器信号处理的需要而开展的 工作。弹载毫米波探测系统在复杂的战场环境中土作,经常受到大量的干扰及噪声的影响, 为了对探测器的回波进行有效的滤除,利用 FPGA 的高速可编程的特点对探测信号中的干 扰加以排除。 在数字处理中,滤波占有重要的地位。数字滤波在语音和图像处理、HDTV(High- Definition Television) 、模式识别、谱分析等应用中经常用到。与模拟滤波相比,数字滤波 具有一很突出的优点。例如它可以满足滤波器对幅度和相位特性的严格要求,可以避免模 拟滤波所无法克服的电压漂移、温度漂移和噪声等问题。

6、 根据数字滤波器冲激响应函数的时域特性,可将数字滤波器分为无限长冲激响应(IIR) 滤波器和有限长冲激响应(FIR)滤波器两种。由于 FIR 系统只有零点、系统稳定,便于实现 FFT 算法、运算速度快、线性相位的特性和设计更为灵活等突出优点而在土程实际中获得 广泛应用。 FPGA(Field program Gate Array)是可编程逻辑器件中一种比较复杂的形式,它正处 于革命性数字信号处理的前沿。全新的 FPGA 系列正在越来越多的替代 ASIC(Application Specific Integrated Circuit)和 PDSP(Programmable Digital sig

7、nal processors)用作前端 数字信号处理的运算。FPGA 具有与许多与 ASIC 相同的特点。例如:在规模、重量和功耗等 方面都有一所降低。而目吞吐量更高、能更好的防止未授权复制、元器件和开发成本的 进一步降低,开发时间也大大缩短。还具有一在线路中可重复编程的特性。 它允许电路设计者利用基于计算机的开发平台,经过设计输入、仿真、测试和校验, 直至达到预期的效果,从而可以产生更为经济的设计。更吸引人的是,采用 FPGA 器件可 以将原来的电路板级产品集成为芯片级产品。正如我们现在所看到的,随着 FPGA 在数字 2 信号处理中的大规模应用,正在日渐深入地影响我们的生产和生活,也必将在

8、这领域引起 深刻的变革。 在本课题的研究中,采用超大规模集成电路硬件描述语言(VHDL)对设计进行描述。在 程序设计的过程中,将小同功能代码分别存放,以利于设计的后期更新和维护。可以使用 LeonardoSpectrum 或 FPGA Express 对设计进行综合处理,就可以将代码下载到具体的芯 片中,完成 FIR 滤波器的设计。 1.2 国内国内外外研研究究现状现状和和发发展展动态动态 在现代信号处理和电子应用技术领域,滤波器作为一种必不可少的组成部分处在了一 个十分重要的位置,并日益显示出其巨大的应用价值。尽管滤波技术的发展到现在只有七 十多年的历史,但它的发生与发展已经历了诸多变化,作

9、为一种信号处理的技术已相当完 善,并不断发挥着其重要的作用。 长期以来,人们不断地探索滤波器的设计与实现方法,努力地追求着简化设计、减少 体积、改善性能、提高灵活性和可靠性、便于制作等问题。随着微电子学迅速发展,以单 个芯片进行 FIR 滤波器的设计正在发展和应用中。 如今 FIR 滤波器的硬件设计有多种实现方法。第一种是采用单片机来实现但单片机的 处理速度比较慢。第二种是采用专用的 DSP 芯片,但是 DSP 的串行指令执行发式,使其工 作速度和效率大打折扣,因此当滤波器的系数增加或字长增长时,计算时间会成信增加, 从而降低了最大有效数据采样率。而且在一些高速的应用中,系统性能不断增长,而

10、DSP 性能的提高却落后于需求的增长。第三种是采用市场上通用的 FIR 滤波器集成电路,但由 于他的通用性,很难满足设计者独特的要求。第四种是采用可编程逻辑器件(PLD)的方法来 实现。 可编程逻辑器件在电子技术领域中的应用,为数字硬件电路系统的设计带来了极大的 灵活性。由于可编程逻辑器件可以通过软件编程而对其硬件结构和工作方式进行重构,使 得硬件的设计可以如同软件设计那样方便快捷。这一切极大地改变了传统的数字硬件电路 系统设计方法、设计过程、乃至设计观念。纵观可编程逻辑器件的发展史,它在结构原理、 集成规模、下载方式、逻辑设计手段等方而的每一次进步都为现代电子设计技术的革命与 发展提供了不可

11、或缺的强大动力。 今日 FPGA 技术不再是 ASIC 技术领域的一个点缀和补充,而跃为电子应用(包括通讯 技术、计算机应用、自动控制、仪器仪表、ASIC 设计)等诸多领域受欢迎的实用技术,成 为数字系统科研实验、样机试制、小批量产品即时实现的最佳途径。 1.3 论文研究的目的和主要内容论文研究的目的和主要内容 本文研究的主要目的是为弹载毫米波探测系统设计出性能指标优良的滤波器,使其在 复杂的战场环境中快速过滤掉无用信号及噪声。并根据此目的进行进一步的研究及拓展。 为了确定使用的方法在设计 FIR 滤波器上是有效并且是高效的,采用查找表的 FIR 滤波器 3 无论在速度上和所占用的资源上均优于

12、普通的设计方式,因此可以确定采用查找表来设计 FIR 滤波器占有优势。 本文主要内容分为五部分: 第 1 章简要介绍背景、选题以及文章的概要; 第 2 章对 FIR 滤波器的原理,尤其是线性相位的 FIR 滤波器进行了详细的说明,并介 绍了用窗函数法实现 FIR 滤波器的方法; 第 3 章介绍 EDA 技术和可编程逻辑器件的联系; 第 4 章 FIR 滤波器用硬件 FPGA 实现的简要流程; 第 5 章对全文进行了总结并指出本设计的发展方向; 第 2 章 FIR 的原理及技术 2.1 FIR 滤滤波器的特点波器的特点 数字滤波器通常分为有限脉冲响应(FIR)和无线脉冲响应(IIR)两大类。FI

13、R 滤波器 由有限个采样值组成,将上述卷积的数量降低到每个采样时刻为有限个,而 IIR 滤波器需 要执行无限数量次卷积。FIR 滤波器相对于 IIR 滤波器的优点与不足如下: 优点: (1)具有|严格的线性相位又具有任意的幅度; (2)FIR 滤波器的单位抽样响应是有限长的,因而滤波器性能稳定; (3)FIR 滤波器由于单位抽样响应是有限长的,因而可用快速傅里叶变换(FFT)算 法来实现过滤信号,可大大提高运算效率。 不足: (1)FIR 系统的系数长度一般会比 IIR 系统大,也就是说设计一个符合要求的滤波器, FIR 系统需要较多的乘法器,当以直接回旋运算执行时期效率较差。 (2)此系统的

14、输出延时时间长。 由上面的比较可以看出,FIR 滤波器还是存在缺点的,但采用 FPGA 进行 FIR 滤波器的 设计,运用 FPGA 中的算法来提高速度,缩短延迟时间,可以使 FIR 滤波器符合指标的要 求。随着 FPGA 的快速发展,FIR 的缺点将逐渐不成为其缺点。 2.2 FIR 滤滤波器的基波器的基础础 数字滤波器(DF)是个离散系统,它所处理的对象是用序列表示离散信号或数字信号。 DF 的因果离散系统函数可表示成; H(z)= 4 其常系数线性差分方程为: Y(n)=x(n-r) 可以看出,数字滤波器是把输入和之前输出的序列经过一定的运算变换成输出的序列。 大多数普通数字滤波器都是

15、LTI 滤波器,对于 FIR 系统,其系统函数仅有零点,因此 FIR 系统的差分方程可以表示为: Y(n)=x(n-r) 转移函数为: H(z)= 由公式可知,系统的脉冲响应是因果序列,因为输出仅与即时输入以及过去的输入数 据有关,而与过去的输出数据没有直接关系,所以 FIR 滤波器是因果的,是物理可实现系 统,因而他在实际中往往采用非递归(无反馈作用)形式的结构实现。另外此系统的脉冲 响应是绝对可加的,所以 FIR 滤波器总是稳定的 。 2.1.1 FIR 滤滤波器的基本波器的基本结构结构 FIR 滤波器的构成形式主要有直接型、级联型、线性相位 FIR 滤波器的结构等。 直接型结构 直接 F

16、IR 模型的一个变种称为转置式 FIR 滤波器, 级联型 如将分解为二阶实系数因子形式: H(z)= 便可得二阶级联结构。 线性相位 FIR 系统的结构 5 能够建立线性相位-频率功能的滤波器,系统相位线性度的标准尺度就是“组延迟”, 其定义为: 完全理想的线性相位滤波器对于一定频率范围的组延迟是一个常数。可以看到如果滤 波器是对称或者反对称的,就可以实现线性相位。 线性相位表示一个系统的相频特性与频率成正比,由于不同频率传输速度都一样,所 以信号通过它产生的时间延迟等于常数 K 所以不出现相位失真,对一个数字系统来说,即 假设一个离散时间系统的幅频特性等于 1,则当信号 x(n) 通过该系统

17、后,其输出 y(n) 的频率特性 所以 Y(n)= x(n-k) ,这样输出 y(n) 等于输入时间上的唯一,达到无失真输出目 的。 可以证明,线性相位条件: h(n)=h(N-1-n)偶对称 h(n)=-h(N-1-n)奇对称 线性相位 FIR 滤波器结构 2.1.2 FIR 滤滤波器的波器的设计设计 FIR 滤波器设计方法是以直接逼近所需离散时间系统的频率响应为基础。设计方法包 括窗函数法和最优化方法( 等同波纹法)。 窗函数法 任何数字滤波器的频率响应 H() 都是 W 的周期函数,他的傅里叶展开式为: =(n) 6 傅里叶系数 h(n) 实际上是数字滤波器的冲击响应,由于 h(n)可能

18、是无线长序列且 为非因果响应,是物理不可响应的,为此寻找一个因果 h(n) 在相应的误差准则下最逼近 。窗函数法设计的初衷是使设计的滤波器频率特性 H() 频域均方误差最小意义下 进行逼近,即 窗函数法就是被称为窗函数的有限加权序列 来修正 则所需 h(n) 表示为: h(n)= 当 nN-1 及 nA(i),b=B(i),clr=clear, clk=clk,s=S(i); end generate; end behavioral; 其模块符号如下 图 4.6 移位寄存器模块 5.移位累加模块 查找表单元,该模块的顶层代码如下: LIBRARY ieee; USE ieee.std_logi

19、c_1164.ALL; use ieee.std_logic_arith.all; USE ieee.std_logic_unsigned.ALL; entity rom is port(table_in : in std_logic_vector(3 downto 0); table_out : out std_logic_vector(7 downto 0) ); 21 end rom; architecture behavioral of rom is begin process(table_in) begin case table_in is when”0000”=table_outt

20、able_outtable_outtable_outtable_outtable_outtable_outtable_outtable_outtable_outtable_outtable_outtable_outtable_outtable_outtable_outtable_out count :=0;p2s_loadcount:=0;p2s_loadp2s_load=0;carry_clr=0;acc_clr=0; latch=0;add_sub=0;count:=count+1; if(count=7)then state=s1; end if; end case; end if; e

21、nd process; end behavioral; 其模块符号如下 图 4.7 锁存模块 24 4.3.3F I R 滤滤波器串行方式波器串行方式实现实现的系的系统统分析分析 根据前而的各模块的设计实现,生成的 FIR 串行方式的顶层原理 图 4.8F I R 滤波器串行方式实现 4.3.4 串行串行 FIR 滤滤波器的波器的扩扩展展应应用用 串行滤波器的输入采用并行,而输出采用串行方式,而且数据也采用 2 进制补码表示。 选用 VIRTEX-II 系列器件,滤波器的工作频率可达到 100MHz 以上。由于流水线造成的输 出滞后,使数据从输入到输出要经过 22 个时钟的延时,每 8 个时钟

22、周期产生一个有效的输 出。串行滤波器同并行滤波器一样都可以扩展,并且它们的扩展方法基本相同。在串行滤 波器的级联结构中,由于使用了相同的控制模块和移位累加器,所以两个模块共用一个控 制模块和移位累力加器。而在串行滤波器的并联结构中,由于结构的要求只能共用一个控 制模块。 4.3.5 小结小结 串行方式滤波器的输入是并行的,输出采用的是串行方式,数据也是采用二进制补码 的形式表示。串行数字滤波器的硬件实现采用的理论与并行数字滤波器的硬件实现是基本 相同的,只是在实施上串行方式对输入数据采用的是按位处理的方法,而并行方式对输入 数据的所有位同时处理的。为了提高系统的性能,串行滤波器在实现时也采用了

23、流水线技 术,由于流水线造成的输出滞后,数据从输入到有效输出要经过 18 个时钟周期的延时。同 25 时,由于串行方式进行的是串行位操作,因此每 8 个时钟周期产生一个有效的输出,而并 行方式是一个周期产生一个有效输出。尽管如此,串行方式比并行方式在所需硬件规模上 大大的减少,比较适合于硬件资源有限的器件设计当中。 第 5 章总结与展望 在现代电子系统中,FIR 数字滤波器以其良好的线性特性被广泛使用,属于数字信号 处理的基本模块之一。本论文就基于 FPGA 器件实现 FIR 数字滤波器完成了以下研究: 首先 以 FIR 数字滤波器的基本理论为依据,使用分布式算法为滤波器的硬件实现算法,并对其

24、 进行了详细的讨论。针对分布式算法中查找表规模过大的缺点,对其进行了优化方面的讨 论,采用多块查找表以及线性 FIR 滤波器的对称性特点使得硬件规模极大的减小。 其次,针对基于 FPGA 硬件实现的特点,分别采用了并行和串行的设计方案,分别实 现了 8 阶级联方式实现 16 阶线性 FIR 低通滤波器和 8 阶 FIR 低通滤波器,两种方案中都 采用了流水线技术,通过对两种方式性能的比较,我们可以看出流水线在硬件设计中的重 要性的同时,还可以得出并行设计运算速度,但资源占用多而串行方式资源占用少,但延 迟长。并行方式每个时钟周期就可以完成整个运算,而串行方式,对于 7 位有效输入数据 来说,完

25、成整个运算需要 8 个时钟周期。因此,可以看出,在具体的设计当中要根据系统 资源和具体设计要求两方面来具体的考虑。 本文作为对硬件的方式设计 FIR 数字滤波器给出了比较通用的设计方法,通过修改 LUT,我们可以很容易的实现高通,带通等 FIR 数字滤波器;对于设计中碰到的问题,如如 何对数据进行量化处理,如何防止中间计算数据的溢出等问题,本文也给出了相应的解决 方法。 本人认真进行了课题的研究并完成了本论文,由于本人水平有限,论文中可能仍有错 误和不足之处,敬请老师们批评指正。 参考文献 1.周耀华,汪凯仁.数字信号处理【M】.上海:复旦大学出版社,1992 2.丁玉美,数字信号处理(第二版

26、)【M】.西安:西安电子科技大学出版社,2000 3.曾繁泰,陈美金.VHDL 程序设计【M】.北京:清华大学出版社,2000 4.曾繁泰.EDA 工程概论【M】.北京:清华大学出版社,2000 5.万杰,罗丰,吴顺军. CPLD 技术及其应用【M】.西安:西安电子科技大学出版社,1999 6.姜立东等编著.VHDL 语一言程序设计及应用.北京:北京邮电大学出版社,2003.6 7.靳希,杨尔滨,赵玲编著.信号处理原理与应用,北京:清华大学出版社,2004 8.郭继昌,李香萍,滕建辅,基于位串行分布式算法和 FPGA 实现 FIR 电路的研究,电子测量与仪 器学报,2001.6 9.侯伯亨,顾

27、新编著.VHDL 硬件描述语言与数字逻辑电路设计.西安:西安电子科技大学出版社, 2003 10.徐志军,徐光晖编著.CPLD/FPGA 的开发与应用.北京:电子工业出版社,2002.1 26 ABSTRACT With the development of the technology, the design of the electronic circuit is getting rid of traditional pattern, while using FPGA to design electronic circuit is becoming the trend of design.

28、 Because using FPGA to design electronic circuit have the advantage of short time and relative less fund, and can make product as electronic circuit to product as CMOS chip.To the person who knows the history of the Programmable Logic Device, FPGAprovide the strong power in the field of the modem el

29、ectronic circuit design instructure, the scale of the integration, and the design pattern.In the Digital signal processing, filter is very important. It is useful of Digital filter in voice processing, image processing, HDTV, Pattern Recognitionand spectrum analysis.It is widely used of Finite Impul

30、se 27 Response (FIR) filter, because it has theadvantage of only zeros, system stable, linearity phase, to implement FFT fast, quick operation and so on. In this paper, FPGA is used to design FIR filter in the purpose of filtering the noise of the echo because of the advantage of FPGA in programmable fast.

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 研究报告 > 信息产业


经营许可证编号:宁ICP备18001539号-1