毕业设计(论文)-基于PWM的小功率直流电机调速系统设计.doc

上传人:来看看 文档编号:3283435 上传时间:2019-08-08 格式:DOC 页数:49 大小:3.84MB
返回 下载 相关 举报
毕业设计(论文)-基于PWM的小功率直流电机调速系统设计.doc_第1页
第1页 / 共49页
毕业设计(论文)-基于PWM的小功率直流电机调速系统设计.doc_第2页
第2页 / 共49页
毕业设计(论文)-基于PWM的小功率直流电机调速系统设计.doc_第3页
第3页 / 共49页
毕业设计(论文)-基于PWM的小功率直流电机调速系统设计.doc_第4页
第4页 / 共49页
毕业设计(论文)-基于PWM的小功率直流电机调速系统设计.doc_第5页
第5页 / 共49页
点击查看更多>>
资源描述

《毕业设计(论文)-基于PWM的小功率直流电机调速系统设计.doc》由会员分享,可在线阅读,更多相关《毕业设计(论文)-基于PWM的小功率直流电机调速系统设计.doc(49页珍藏版)》请在三一文库上搜索。

1、分类号: U D C:密 级:公 开 编 号:成都信息工程学院学位论文基于PWM的小功率直流电机调速系统设计论文作者姓名:申请学位专业:电气工程及其自动化申请学位类别:工学学士指导教师姓名(职称):论文提交日期:2009年6月5日基于PWM的小功率直流电机调速系统设计摘 要本设计基于PWM技术实现了对小功率直流电机的转速调节。以MCS-51系列单片机为控制核心,组成了包括转速调节、转速设置、转速测量和显示等功能的闭环调速系统。为了实现对转速的灵活控制和高效节能,PWM控制方式配合L298N电机驱动芯片对小功率直流电机进行驱动和调速是较好的调速系统解决方案。L298N、PWM信号以及电机一起组成

2、了直流斩波电路,其中L298N内部集成两路H桥式电路。本系统中利用单片机控制片外硬件产生的PWM信号的占空比大小,和处理红外对管采集的电机转速信号。在数码管上显示当前转速和设置的转速,将当前转速作为反馈信号与设置转速比较,以确定加速还是减速,直到两者相等后保持这个速度稳定运行。在本设计中编写了调速运算、测速、显示、键盘输入等子程序,充分发挥了直流电机的优良的调速性能。各部分功能完整,构成了多功能自动化的闭环调速系统。实测调速效果良好,测速精确,能迅速地调节转速到设定转速稳定运行。该设计采用元件较少,结构简洁,维护方便,经济实用,有很好的适应性。关键词:单片机;PWM;闭环调速系统;直流斩波Lo

3、w-Power DC Motor Speed Control System Design Based on the PWM TechnologyAbstractThe design is about low-power DC motor speed regulation based on PWM technology. The MCS-51 series single-chip microcontroller, as the core component, is designated to take charge of the speed adjustment, setting, measur

4、ement and display which is necessary for a closed loop system. An excellent solution has been worked out by using PWM technology combined with L298N motor driver IC as a low-power DC motor driver, in order to achieve the flexible speed control and improve energy efficiency. Therein, DC chopper consi

5、st of the L298N which contains two H-bridge circuits, PWM signals and DC motors. The PWM signals Duty cycle is adjusted by the single-chip Micro-controller. The motors speed is measured by an infrared tube and an infrared receiver, and then the result of measurement is compared with the setting valu

6、e. In this case, the speed can be increased or reduced according to the comparison until the two speeds above come to the same value. In this project, programming is very important to achieve these functions such as the speed regulation, measurement, display, and keyboard input. Bugs and logic fault

7、s are corrected when the development of system is finished. Based on the studying above, this system has been proved to be convenient to maintain and easy to operate with a few components and excellent adaptability.Key words: MCS-51; PWM; Closed Loop Speed Control System; DC Chopper目 录论文总页数:49页1引言11

8、.1课题背景及意义11.2本课题研究方法和目标12调速系统原理22.2 直流调速主要技术介绍22.2.1 直流斩波器介绍22.2.2 PWM调速系统的优点33方案研究与主要芯片选择33.1总体方案原理及设计框图33.2 PWM产生方式43.3 电机驱动方式63.4 其他芯片选择74硬件电路设计74.1 主要芯片介绍74.1.1 L298N的构造及其功能介绍74.1.2 AT89S52的结构和功能介绍94.1.4 TLC5615的功能介绍124.1.5 LM358A功能介绍144.1.6 LM311(高灵活性的电压比较器)144.2 电机驱动电路设计154.3 PWM信号产生电路设计164.4

9、电机转速测量电路设计184.5 显示电路设计184.6 电源电路设计194.7 总电路及设计195软件设计215.1 部分程序流程图215.2 主要芯片编程操作方式225.3 部分主要程序功能说明236产品调试和使用方法266.1 程序导入AT89S52单片机266.1.1 keil的简单使用266.1.2 Easy51软件及下载器的使用276.2 调试过程286.3 调速器使用方法29结 论29参考文献33致 谢34声 明35附 录361引言1.1课题背景及意义直流电机由于具有优良的调速性能,因此在很多需要用到变速的场合有很多的应用。数控机床,机械控制,机车车辆,实验设备,以致机器人都大量采

10、用直流电机。特别是现代能源缺乏,为了节能的要求出现了电动自行车,混合动力汽车太阳能汽车等,这些都以直流电机为发动机,这就需要有优秀的调速方案。直流电机的调速方案一般有下列3种方式:(1)改变电枢电压;(2)改变激磁绕组电压;(3)改变电枢回路电阻。最常用的是调压调速系统,即改变电枢电压,这样是控制性能最好,可近似达到线性调速和无极调速。作为现代应用调速系统的设计,节能是不可忽视的重点。直流电机电枢调压调速的核心技术是脉宽调制(Pulse Width Modulation)控制技术,该技术通常简称为PWM控制技术1。PWM脉冲信号控制驱动器件的开断,占空比的变化来调节输出的平均功率,在很大程度上

11、节约了电能,不像电枢回路串电阻调速那样消耗能量来达到调速的目的。在直流调速方面现代电力电子器件如IGBT等已发展得很成熟,脉冲宽度调制(PWM)直流调速系统又有着许多无可比拟的优点,因而具有相当广阔的发展前景。随着电力电子技术的发展,发展出许多新的电枢电压控制方法,如交流供电,使用晶闸管整流器进行调压;使用硅整流器将交流电整为直流电或直接由蓄电池等直流电源供电,再由PWM脉冲宽度调节降压斩波器进行斩波调速等1。直流电动机的PWM控制可以用不同的控制方法来实现,采用集成PWM控制器,或者采用微机进行控制,或者使用单片机控制等多种方法。采用PWM脉宽调制的方法控制他励直流电动机的电枢电压,从而达到

12、调速的目的12。PWM控制技术主要应用在电力电子技术行业,具体讲,包括风力发电、电机调速、直流供电等领域,由于其四象限变流的特点,可以反馈再生制动的能量,对于目前国家提出的节能减排具有积极意义。1.2本课题研究方法和目标直流斩波调速器研究的主要思路:1、硬件部分:(1)首先确定电机驱动主电路,或采用专用驱动模块,以及设计驱动电路的控制方式。(2)要驱动模块按照要求驱动电机工作,则需要一个控制信号,这个控制信号就是PWM信号。设计PWM信号产生电路,以及预置转速输入的键盘电路。(3)电机的转速由红外对管采样,得到的转速脉冲信号送单片机处理后由LED数码管显示,并且将这个信号作为速度控制的反馈信号

13、。2、软件部分(1)键盘输入程序用键盘扫描程序,将按下键的键值提交给单片机作为PWM信号产生子程序的预置值。(2)触发脉冲采用单片机控制片外硬件产生,编写控制PWM信号的占空比的程序,这个占空比大小是可调的,设计为键盘输入预设转速与当前转速相比较由软件自动调节,然后产生要求的触发信号去控制电机按照我们要求的转速工作。(3)显示程序就设计LED数码管显示程序,通过单片机对传感器采样的电机转速信号和键盘输入的转速值进行处理,然后在数码管上显示。本设计将实现直流电机的闭环调速,转速预置,速度显示,速度测量等基本功能。2调速系统原理2.1 直流电机工作特性及调速原理根据直流电机的结构分析可得到等效的模

14、型,包括电枢绕组及其等效的电阻等。直流电动机的转速n和其它参数的关系可用下式来表示: (2-1)(2-1)式中:UN是电枢电压,IN是电枢电流,Ra是电枢回路总电阻,Ce是电势常数,是励磁磁通。(2-2)(2-2)式中:p-磁极对数,N是导体数,a是电枢支路数。(2-3)(2-3)式中:当电机型号确定后,Ce为常数,故式式(2-1)改为(2-4)在中小功率直流电机中,电枢回路电阻非常小,式(2-4)中INRa项可省略不计,由此可见,当改变电枢电压时,转速n随之改变,达到直流电机的调速的目的。改变直流电机电枢电压,可通过PWM控制的降压斩波器进行斩波调压13。2.2 直流调速主要技术介绍2.2.

15、1 直流斩波器介绍直流斩波器又称直流调压器,是利用开关器件来实现通断控制,将直流电源电压断续加到负载上,通过通断时间的变化来改变负载上的直流电压平均值,将固定电压的直流电源变成平均值可调的直流电源,亦称直流直流变换器2。它具有效率高、体积小、重量轻、成本低等优点,现广泛应用于地铁、电力机车、城市无轨电车以及电瓶搬运车等电力牵引设备的变速拖动中。直流斩波器的输出电压平均值可以通过改变占空比,即通过改变开关器件导通或关断时间来调节,常用的改变输出平均电压的调制方法有以下三种: (1)脉冲宽度调制(Pulse Width Modulation,简称PWM)。开关器件的通断周期T保持不变,只改变器件每

16、次导通的时间,也就是脉冲周期不变,只改变脉冲的宽度,即定频调宽。(2) 脉冲频率调制(Pulse Frequency Modulation,简称PFW)。开关器件每次导通的时间不变,只改变通断周期T或开关频率,也就是只改变开关的关断时间,即定宽调频,称为调频。(3)两点式控制。开关器件的通断周期T和导通时间均可变,即调宽调频,亦可称为混合调制。当负载电流或电压低于某一最小值时,使开关器件导通;当电流或电压高于某一最大值时,使开关器件关断。导通和关断的时间以及通断周期都是不确定的。常用的电机驱动电路为桥式斩波器,可控制电机启停、换向、调速等,一般使用的桥式斩波器为四象限运行斩波器2。现在市场上出

17、现了许多微型化的斩波器,专用的电机驱动芯片,内部集成了斩波电路,效率高,具有很好的稳定性。小型直流电机的驱动已广泛采用这种集成斩波芯片。如L298N就是一中内部集成H桥式斩波电路的直流电机驱动芯片。2.2.2 PWM调速系统的优点(1) 采用全控型器件的PWM调速系统,其脉宽调制电路的开关频率高,一般在几kHz,因此系统的频带宽,响应速度快,动态抗扰能力强。(2)由于开关频率高,仅靠电动机电枢电感的滤波作用就可以获得脉动很小的直流电流,电枢电流容易连续,系统的低速性能好,稳速精度高,调速范围宽,同时电动机的损耗和发热都较小。(3)PWM控制提高了电动机的运行效率3。PWM系统中,主回路的电力电

18、子器件工作在开关状态,损耗小,装置效率高,而且对交流电网的影响小,没有晶闸管整流器对电网的“污染”,功率因数高,效率高。(4)主电路所需的功率元件少,线路简单,控制方便。(5)PWM系统很容易实现智能控制,如在MCS-51单片机或PLC等控制器的控制下可实现精确的调节可预置参数实现闭环调节。目前,受到器件容量的限制,PWM直流调速系统只用于中、小功率的系统。3方案研究与主要芯片选择3.1总体方案原理及设计框图本设计是基于AT89S52单片机为核心的直流斩波调速器,由单片机控制和产生适合要求的PWM信号,该PWM信号通过驱动芯片或桥式电路进行直流斩波,使输出电压平均值和功率可按照PWM信号的占空

19、比而变化,从而达到对直流电机调转速的目的。该系统通过红外光电对管对电机转速的采集和反馈3,并与预置的转速比较运算,调节电机的转速达到预置转速,构成了一个闭环调试系统23。转速的预置由键盘输入,4个键分别负责千、百、十、个位,按下加一,09循环,最后一个按键负责确认,按下后电机开始以输入的预置转速为基准调速,直到稳定。8051单片机PWM产生电路驱动电路直流电机LED显示按键输入光电测速电路图3.1 调速系统框图3.2 PWM产生方式PWM(脉冲宽度调制)是通过控制固定电压的直流电源开关频率,改变负载两端的电压,从而达到控制要求的一种电压调整方法。PWM可以应用在许多方面,比如:电机调速、温度控

20、制、压力控制等等。在PWM驱动控制的调整系统中,按一个固定的频率来接通和断开电源,并且根据需要改变一个周期内“接通”和“断开”时间的长短。通过改变直流电机电枢上电压的“占空比”来达到改变平均电压大小的目的,从而来控制电动机的转速。也正因为如此,PWM又被称为“开关驱动装置”3。PWM波形如图3.2所示:图3.2 PWM波形图设电机始终接通电源时,电机转速最大为Vmax,设占空比为:(3-1)则电机的平均速度为(3-2)其中Va指的是电机的平均速度;Vmax是指电机在全通电时的最大速度;D是指占空比。由上面的公式(3-2)可见,当我们改变占空比D时,就可以得到不同的电机平均速度Vd,从而达到调速

21、的目的。严格来说,平均速度Vd与占空比D并非严格的线性关系,但是在一般的应用中可以将其近似地看成是线性关系。1、单片机片内软件生成PWM信号PWM信号可以采用单片机定时中断的方式软件模拟产生,这样实现比较容易,电路简单,也可以节约硬件成本,也有一些单片机有了片内的专用PWM模块了,但是这样的单片机价格稍高。使用软件模拟产生PWM信号时候,会占用单片机的片内资源造成单片机资源不够用。最主要的是在PWM调制频率较高的时候,频繁的中断和定时操作,或造成单片机繁忙,响应延迟,严重影响单片机的性能,达不到控制要求。2、单片机外硬件生成PWM信号PWM信号可以通过锯齿波发生器产生锯齿波信号与可控的调制信号

22、经比较器比较后可以得到相应占空比的PWM信号。这一调制信号由单片机发生后经过DAC芯片D/A转换即可产生。使用TL494加外围元件组成锯齿波发生器;选用串行DAC芯片TLC5615为D/A转换芯片,仅有3个引脚和单片机的IO口相连,可以很大程度上节约单片机IO口资源;D/A转换后的信号经过运放LM358AQ前后级隔离并整形后得到一个直流电压即为占空比调制信号;比较器采用LM311,调制信号和锯齿波信号经过比较器比较后得到符合控制要求的PWM信号。与软件产生PWM的方案相比不占用单片机片内资源,可以在产生高速的PWM信号。图3.3 PWM产生原理图(a.调制原理 b.调制波形)脉冲宽度调制波通常

23、由一列占空比不同的矩形脉冲构成,其占空比与信号的瞬时采样值成比例。上图所示为脉冲宽度调制系统的原理框图和波形图,x(t)即为控制占空比的调制信号。该系统有一个比较器和一个周期为Ts的锯齿波发生器组成。语音信号如果大于锯齿波信号,比较器输出正常数A,否则输出0。因此,从上图中可以看出,比较器输出一列下降沿调制的脉冲宽度调制波。3、PWM产生方案的确定经过以上两种PWM产生方式的分析,联系本系统闭环调速还要在测速和显示中用到中断定时器等片内资源,而且对PWM产生和转速控制要求较高响应速度。故本设计中采用片外硬件产生PWM信号的方式。3.3 电机驱动方式常用的直流电机驱动方式有H桥驱动方式,在直流电

24、机功率较小时也用三极管或场效应管放大作放大器驱动。但目前应用最成熟和广泛的还是由三极管,场效应管,晶闸管等这些器件组成的H桥2,成本低,效果好,能提供较大的驱动电压和电流,还可以控制电机转向。图3.4 H桥直流电机驱动电路原理图另外现在市场上有很多专用的电机驱动芯片或模块,使用简单,简化电路和降低电路的故障率。其中L298N就是一款常用的优秀的电机驱动芯片,虽然最高电压40V,电流最大2A,由于本系统是由小型直流电机,完全能够满足要求,故本系统选用L298N为电机驱动芯片。3.4 其他芯片选择单片机采用常用的AT89S52,MCS-51构架,性能优秀,价格便宜,下载器等这些维护设备及相应的软件

25、都容易找到,兼容性也很好。显示芯片采用74595驱动数码管工作,8位显示两个芯片负责段选,一个负责8位位选刚好够,DS,OE,SH三个控制端仅使用单片机3个IO口,节约资源。为了简化电路在实验室有12V电源,电源芯片就采用一片7805由12V转为5V稳定直流电源为单片机供电就可以了。4硬件电路设计4.1 主要芯片介绍4.1.1 L298N的构造及其功能介绍L298N是直流电机的专用驱动芯片,最高电压可达46V,单路最高的电流可达2A。它内部由8管构成的双路H桥式驱动电路。图4.1 L298N的内部构造框图L298是SGS公司的产品,比较常见的是15脚Multiwatt封装的L298N,内部同样

26、包含4通道逻辑驱动电路。可以方便的驱动两个直流电机,或一个两相步进电机。L298N可接受标准TTL逻辑电平信号VSS,VSS可接4.57 V电压。4脚VS接电源电压,VS电压范围为2.546 V。输出电流可达2.5 A,可驱动电感性负载。1脚和15脚下管的发射极分别单独引出以便接入电流采样电阻,形成电流传感信号。L298可驱动2个电动机,OUT1,OUT2和OUT3,OUT4之间可分别接电动机,本实验装置我们选用驱动一台电动机的方式,即A通道。5,7,10,12脚接输入控制电平,控制电机的正反转。ENA,ENB接控制使能端,控制电机的停转,本设计中ENA接PWM信号。表4.1是L298N功能逻

27、辑图表4.1 L298N的控制方式 L298N的控制方式ENAIN1IN2运转状态0XX停止110正转101反转111刹停100停止图4.2 L298N的引脚图In3,In4的逻辑图与表4.1相同。由表4.1可知ENA为低电平时,输入电平对电机控制起作用,当ENA为高电平,输入电平为一高一低,电机正或反转。同为低电平电机停止,同为高电平电机刹停。4.1.2 AT89S52的结构和功能介绍AT89S52是一个低功耗,高性能CMOS 8位单片机,片内含8k Bytes ISP(In-system programmable)的可反复擦写1000次的Flash只读程序存储器,器件采用ATMEL公司的高

28、密度、非易失性存储技术制造,兼容标准MCS-51指令系统及80C51引脚结构,芯片内集成了通用8位中央处理器和ISP Flash存储单元,功能强大的微型计算机的AT89S52可为许多嵌入式控制应用系统提供高性价比的解决方案。AT89S52具有如下特点:40个引脚,8k Bytes Flash片内程序存储器,256 bytes的随机存取数据存储器(RAM),32个外部双向输入/输出(I/O)口,5个中断优先级2层中断嵌套中断,2个16位可编程定时计数器,2个全双工串行通信口,看门狗(WDT)电路,片内时钟振荡器。此外,AT89S52设计和配置了振荡频率可为0Hz并可通过软件设置省电模式。空闲模式

29、下,CPU暂停工作,而RAM定时计数器,串行口,外中断系统可继续工作,掉电模式冻结振荡器而保存RAM的数据,停止芯片其它功能直至外中断激活或硬件复位。同时该芯片还具有PDIP、TQFP和PLCC等三种封装形式,以适应不同产品的需求4。表4.2 AT89S52的功能特点AT89S52主要功能特性: 兼容MCS-51指令系统8k可反复擦写(1000次)ISP Flash ROM 32个双向I/O口4.5-5.5V工作电压 3个16位可编程定时/计数器时钟频率0-33MHz 全双工UART串行中断口线256x8bit内部RAM 2个外部中断源低功耗空闲和省电模式 中断唤醒省电模式3级加密位 看门狗(

30、WDT)电路软件设置空闲和省电功能 灵活的ISP字节和分页编程双数据寄存器指针图4.3 AT89S52引脚图 4.1.3 TL494结构及功能介绍TL494是一种固定频率脉宽调制电路,它包含了开关电源控制所需的全部功能,广泛应用于单端正激双管式、半桥式、全桥式开关电源。TL494有SO-16和PDIP-16两种封装形式,以适应不同场合的要求。其主要特性如下:(1)集成了全部的脉宽调制电路。(2)片内置线性锯齿波振荡器,外置振荡元件仅两个(一个电阻和一个电容)。(3)内置误差放大器。(4)内止5V参考基准电压源。(5)可调整死区时间。(6)内置功率晶体管可提供500mA的驱动能力。(7)推或拉两

31、种输出方式。图4.4 TL494引脚图TL494是一个固定频率的脉冲宽度调制电路,内置了线性锯齿波振荡器,振荡频率可通过外部的一个电阻和一个电容进行调节3,其振荡频率如下: (4-1)图4.5 TL494脉冲控制波形图输出脉冲的宽度是通过电容CT上的正极性锯齿波电压与另外两个控制信号进行比较来实现。功率输出管Q1和Q2受控于或非门。当双稳触发器的时钟信号为低电平时才会被选通,即只有在锯齿波电压大于控制信号期间才会被选通。当控制信号增大,输出脉冲的宽度将减小。参见图4.5。TL494内置一个5.0V的基准电压源,使用外置偏置电路时,可提供高达10mA的负载电流,在典型的070温度范围50mV温漂

32、条件下,该基准电压源能提供5%的精确度3。由于外围元件少,电路简单,成本低,各种性能满足设计需求,所以选用了此芯片作为本设计的PWM信号产生电路的锯齿波产生元件。4.1.4 TLC5615的功能介绍TLC5615为美国德州仪器公司的产品,是具有串行接口的数模转换器,其输出为电压型,最大输出电压是基准电压值的两倍。带有上电复位功能,即把DAC寄存器复位至全零5。TLC5615性能价格比高,管脚少便于设计安装,目前在市场上很方便购买。1、TLC5615的特点(1)10位CMOS电压输出;(2)5V单电源供电;(3)与CPU三线串行接口;(4)最大输出电压可达基准电压的二倍;(5)输出电压具有和基准

33、电压相同极性;(6)建立时间12.5s;(7)内部上电复位;(8)低功耗,最大仅1.75mW。2、TLC5615引脚说明TLC5615有小型和塑料DIP封装,DIP封装的TLC5615芯片引脚排列如图4.6所示。引脚功能说明如表4.3:表4.3 TLC5615各引脚功能脚1 DIN串行数据输入端脚2 SCLK串行时钟输入端脚3 CS芯片选用通端,低电平有效脚4 DOUT用于级联时的串行数据输出端脚5 AGND模拟地脚6 REFIN基准电压输入端脚7 OUTDAC模拟电压输出端脚8 VDD正电源端图4.6 TLC5615引脚排列图图4.7 TLC5615的时序图图4.8 TLC5615与AT89

34、C51单片机接口电路TLC5615的时序分析如图4.7所示。由时序图可以看出,当片选CS为低电平时,输入数据DIN由时钟SCLK同步输入或输出,而且最高有效位在前,低有效位在后。输入时SCLK的上升沿把串行输入数据DIN移入内部的16位移位寄存器,SCLK的下降沿输出串行数据DOUT,片选CS的上升沿把数据传送至DAC寄存器。当片选CS为高电平时,串行输入数据DIN不能由时钟同步送入移位寄存器;输出数据DOUT保持最近的数值不变而不进入高阻状态。由此要想串行输入数据和输出数据必须满足两个条件:第一是时钟SCLK的有效跳变;第二是片选CS为低电平。这里,为了减小了使时钟的内部馈通,当片选CS为高

35、电平时,输入时钟SCLK应当为低电平5。串行数模转换器TLC5615的使用有两种方式,即级联方式和非级联方式。如不使用级联方式,DIN只需输入12位数据。DIN输入的12位数据中,前10位为TLC5615输入的D/A转换数据,且输入时高位在前,低位在后,后两位必须写入数值为零的低于LSB的位,因为TLC5615的DAC输入锁存器为12位宽。本设计中使用其单片非级联方式已能满足要求。4.1.5 LM358A功能介绍 LM358内部包括有两个独立的、高增益、内部频率补偿的双运算放大器,适合于电源电压范围很宽的单电源使用,也适用于双电源工作模式,在推荐的工作条件下,电源电流与电源电压无关。它的使用范

36、围包括传感放大器、直流增益模块和其他所有可用单电源供电的使用运算放大器的场合。LM358的封装形式有塑封8引线双列直插式和贴片式。图4.9 LM358引脚图4.1.6 LM311(高灵活性的电压比较器)能工作于5.0V到30V的单个电源或15V分离电源,如通常的运算放大器一样,使用范围广阔,使LM311成为一种通用的比较器。该设备的输入可以是与系统隔离的,而输出则可以驱动以地电位为参考或以VCC为参考的,或以VEE电源为参考的负载。可以使其灵活地驱动DTL、RTL、TTL或者MOS逻辑等类型的负载。图4.10 LM311的管脚图4.1.7 74595功能介绍 74HC595是具有8位移位寄存器

37、和一个存储器,三态输出功能。移位寄存器和存储器是分别的时钟。数据在SCHcp的上升沿输入,在STcp的上升沿进入的存储寄存器中去。如果两个时钟连在一起,则移位寄存器总是比存储寄存器早一个脉冲。移位寄存器有一个串行移位输入(Ds),和一个串行输出(Q7),和一个异步的低电平复位,存储寄存器有一个并行8位的,具备三态的总线输出,当使能OE有效时(为低电平),存储寄存器的数据输出到总线6。图4.11 74595的引脚图74HC595各个引脚的功能如表4.4所示。表4.4 74HC595的引脚功能Q07是并行数据输出口,即储寄存器的数据输出口Q7串行输出口,其应该接SPI总线的MISO接口STcp存储

38、寄存器的时钟脉冲输入口SHcp移位寄存器的时钟脉冲输入口OE输出使能端MR芯片复位端Ds串行数据输入端4.2 电机驱动电路设计L298N内部集成了两路的H桥式电路,此设计只用了L298N的A路来驱动直流电机,5、7引脚的IN1、IN2的高低电平组合控制电机的转向和刹车,6脚的ENA接PWM信号,然后PWM信号经L298N斩波后,输出端2、3引脚即OUT1和OUT2之间的电压与PWM信号的占空比大小成近似线性正比关系,由PWM的占空比大小来控制输出功率,从而电机的转速也是受其控制的。电源引脚加接地电容是为了滤波提高驱动电路的稳定性。在电机较大时,启动和制动电流电压都很大,超过L298N芯片的承受

39、范围,需要加续流二极管以保护驱动芯片,但是在本设计中所用电机较小,启停的电流电压都在驱动芯片的承受能力内,故本设计中没有加装保护电路和散热片,在驱动较大电机时在主电路板外可以接保护电路等,以保护调速系统安全运行。图4.12 电机驱动电路4.3 PWM信号产生电路设计图4.13 PWM信号产生电路PWM信号产生电路是本设计的核心部分,它要产生合适频率的PWM信号,而且这个PWM信号的占空比还要灵活可调。根据PWM信号产生原理,锯齿波和另一调制信号经过比较可以得到一个PWM信号。因此在此采用TL494和其外围电路在5脚产生频率固定的锯齿波3,TLC5615串行DAC芯片将单片机发送的预置转速数据数

40、模转换后得到一个占空比的调制信号,将这个信号与锯齿波信号经比较器LM311比较后得到占空比可调的PWM信号,为了起到前后级隔离,避免干扰,在TLC5615的输出端和比较器LM311之间加了一个LM358A组成的电压跟随器。串行的DAC芯片TLC5615只需要3个引脚和单片机相连即可,可以节约单片机的I/O口资源。TL431为DAC芯片提供稳定的参考电压。在此对本设计PWM产生原理说明如下:图4.14 硬件产生PWM信号原理图上图所示为PWM信号产生电路框图及工作波形,其工作过程如下:进行DA转换所得的反馈电压Ur加至放大器Al的反相输入端,固定的参考电压Uf加至A1的同相输入端。经A1放大后的

41、直流误差电压Ue加至比较器A2的反相输入端,由固定频率振荡器产生的锯齿波信号Usa加至A2的同相输入端。A2输出方波信号,其占空比随误差电压而变化,即实现了脉宽调制。对于单管变换器,A2输出的PWM信号即可作为控制功率晶体管的开关信号,对于推挽或桥式等功率变换电路,则应将PWM信号分为两组信号,即分相。分相电路由触发器及两个“与”门组成,触发器的时钟信号对应于锯齿波的下降沿。A端和B端输出两组相差180的PWM信号3。本设计中只需产生正向的单极性PWM信号,然后送入L298N的ENA端,由片内电路分配相位。 其中PWM信号的频率为:(4-2)这个频率适合直流电机的驱动,不至于频率过高或过低而影

42、响调速效果。此外为了提高PWM信号的驱动能力,在比较器LM311的输出端接了一个上拉电阻,使PWM信号幅值达到5V,以符合L298N的ENA端输入电平的要求。4.4 电机转速测量电路设计图4.15 电机测速采样电路电路中选用红外光敏二极管作为受光器件,它与红外发光二极管一起组成一对红外发射接收管,红外光敏二极管在电路中处于反向工作状态。没有光照射时,光敏二极管处于截止状态,反向电阻很大,反向电流(暗电流)很小。随着光照的增强,光敏二极管处于导通状态,其反向电阻减小,反向电流(光电流)增大,其光电流与照度之间呈线性关系。R31为红外发射管,当电机轴承上的的转盘挡住R33关断反向施密特触发器741

43、4的1脚为高电平,转盘没有挡住R31的光线时,R33导通7414的1脚就为低电平,这个采样得到的脉冲信号经过反向施密特触发器放大和整形后输出符合单片机的电平标准的负脉冲7。然后这个可被单片机识别的采样信号就输入到单片机INT0引脚,由单片机内程序运算得到电机的转速789。4.5 显示电路设计显示部分电路采用8为7段LED数码管动态显示,驱动芯片为3片扩展连接的8位串行移位寄存器74595,其中两片提供段码地址,另外一片提供位码地址信号。DS,OE,SH三个输入端与单片机的P0.0,P0.1,P0.2相连,单片机通过这三个IO口控制和发送显示信号在数码管上显示,段码连接没有按常规顺序,是为了便于

44、PCB布线,只是在程序设计中,修改显示段码表即可。本部分采用串行芯片驱动方式是为了节约单片机的IO口资源。串行的显示芯片还有MAX7219等,但是74595的价格便宜,很好购买,比MAX7219芯片成本更低。故本设计采用了三片74595扩展,同样满足了显示的要求。图4.16 显示电路4.6 电源电路设计图4.17 电源电路本设计电源采用12V直流电源,可用学校实验室的直流可调电源,其中12V给L298N和运放供电,+5V由7805芯片产生,为单片机和其他一些需要+5V电源的芯片供电。此7805芯片的应用方式是相当成熟的经典电路,为系统稳定运行提供保证,其中发光二极管为电源通电指示。4.7 总电

45、路及设计图4.18 总电路这是在Protel 99se软件里面设计的总原理图,各个部分电路按模块化的思想设计,便于理解和修改,需要连接的引脚加上了NET网络标连接,使得电路简洁。(实际上是连接了的,只是连线省略了)。各个模块组合在一起形成了完整的电路。图4.19 总电路PCB图在PROTEL 99SE中连接电路原理图,连接正确后生成网络表,新建PCB文件,导入网络表和选择元件封装,然后布线即可得到PCB电路图,在实验室制作成电路板即可焊接调试。由于条件的限制学校大多能做单面板,因此要在布线时选择单面布线,最好选择手动布线。不论怎样都会有飞线的,注意的是在布线时尽量使飞线的两个端口离得近一些,为

46、焊接时接飞线和电路板美观性做打算,当然为了减少干扰和维护调试的方便性也必须得这样做。5软件设计5.1 部分程序流程图PWM子程序入口 开 始 系统初始化 TLC5615初始化设置转速显示 转速设置发送数据到5615进行DA转换 调用调速子程序调用测速子程序当前转速显示返 回调用PWM子程序(a)主程序流程图(b)PWM程序流程图图5.1 部分程序流程图图5.1为主程序和PWM产生子程序的流程图,本设计使用片外硬件产生PWM信号,故只需单片机内部运算后将所需的数据送到TLC5615串行芯片DA转换成代表占空比信号的电压值即可,经过和锯齿波的比较后得到PWM信号。程序流程比较简单,只是要实现好每个子程序的功能,在主程序中调用子程序执行就好了。图5.2为调速子程序的流程图,主要流程为当前转速和设置转速的比较,然后根据比较得出下一步动作是加速还是减速运行,

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 研究报告 > 信息产业


经营许可证编号:宁ICP备18001539号-1