毕业设计(论文)-数字化语音存储与回放系统.doc

上传人:爱问知识人 文档编号:3284930 上传时间:2019-08-08 格式:DOC 页数:20 大小:544.52KB
返回 下载 相关 举报
毕业设计(论文)-数字化语音存储与回放系统.doc_第1页
第1页 / 共20页
毕业设计(论文)-数字化语音存储与回放系统.doc_第2页
第2页 / 共20页
毕业设计(论文)-数字化语音存储与回放系统.doc_第3页
第3页 / 共20页
毕业设计(论文)-数字化语音存储与回放系统.doc_第4页
第4页 / 共20页
毕业设计(论文)-数字化语音存储与回放系统.doc_第5页
第5页 / 共20页
点击查看更多>>
资源描述

《毕业设计(论文)-数字化语音存储与回放系统.doc》由会员分享,可在线阅读,更多相关《毕业设计(论文)-数字化语音存储与回放系统.doc(20页珍藏版)》请在三一文库上搜索。

1、数字化语音存储与回放系统郑重申明本人呈交的毕业实习报告(设计),是在导师的指导下,独立进行实习和研究工作所取得的成果,所有数据、图片资料真实可靠。尽我所知,除文中已经注明引用的内容外,本毕业实习报告(设计)的成果不包含他人享有著作权的内容。对本毕业实习报告(设计)所涉及的实习和研究工作做出贡献的其他个人和集体,均已在文中以明确的方式标明。本毕业实习报告(设计)的知识产权归属于作者与培养单位。学生签名:日期 摘要文章介绍了一种数字化语音存储与回放系统的设计方法,该系统以单片机89C52为中心,采用两片AT628128存储芯片(128KB)构成256KB 的外部存储器来存放采集的语音数据,前端语音

2、信号采集部分采用ADC0809实现模数转换,后端语音信号回放部分采用ADC9764实现数模转换,通过键盘等接口电路实现人机交互,单片机工作在中断查询模式,能够快速响应按键要求,以控制系统的语音信号采集开始、存储和回放等。同时,外围电路辅以带通滤波器和放大器等电路对信号进行滤波放大,实现了语音信号的高保真度存储与回放。关键词:单片机;语音存储;语音回放目录1 前言 42 系统总体方案设计 53 语音信号的数字化3.1语音信号的前端处理 73.2采样理论 73.2.1采样73.2.2量化83.2.3编码83.3 A/D转换器的设计9 3.3.1常见A/D转换器种 9 3.3.2系统设计对A/D转换

3、器的要求10 3.3.3模数转换芯片ADC0809简介104 语音信号的存储4.1存储方案的选择124.2 FIFO特点简介134.3扩展SRAM仿真FIFO135 语音信号的回放5.1数模转换器设计145.1.1 AD9764芯片简介145.1.2 AD9764芯片的工作原理156 软件设计17参考文献 19致谢 20第一章 前言目前,许多应用系统中都需要语音存储和回放处理。按照经典的信号与系统理论,语音信号为模拟信号;而计算机系统建立在二进制基础上,使用的是数字信号。那么,利用计算机处理语音信号就必须先将其数字化,并将其储存、实现回放。此次毕业设计的重点在于研究语音信号数字化的理论与方法,

4、以为例,具体阐述从采集语音信号到储存,再到回放的整个流程;实现语音信号的数字化储存与回放。若用专用的语音芯片来处理,有时会缺乏灵活性,难以满足不同场合的需要。本文介绍的语音存储与回放系统中,没有使用专用的语音处理芯片,不需扩展接口电路,只利用一般的单片机测控系统中都有的硬件电路(如A /D、D /A、存储器等) ,就能完成语音信号的数字化处理,即能完成语音的存储与回放,实现单片机测控系统的语音提示报警及语音提示操作等。第二章系统总体方案设计语音是一种非常有用的信息载体,人们一直在寻找可靠的记录处理语音信号的方法。音乐盒是通过上发条的滚轮上不同位置的突起来带动簧片发出事先设计好的乐音,这是通过机

5、械的方法实现了语音信号的记录(有计划地在滚轮上设置突起)、回放(簧片发出乐音)。留声机、磁带等是靠磁头处的电位变化记录或回放语音信号的。而随着计算机技术的发展与普及,利用计算机处理语音信号已经被广泛应用。人耳能听到的声音频率范围为20Hz20kHz,而一般语音频率最高为3. 4kHz。数字化语音存储与回放系统的基本思想是将模拟语音信号通过模数转换器(A /D)转换成数字信号,再通过单片机控制存储在存储器中;回放时,由单片机控制将数据从存储器中读出,然后通过数模转换器(D /A)转换成模拟信号,经放大在扬声器(或耳机)上输出语音。本系统以单片机89C52 为控制器。由于89C52最大只能寻址64

6、KB 的范围,故而系统另配了两片AT628128存储芯片(128KB)构成256KB 的外部存储器来存放采集的语音数据。根据“奈奎斯特采样定理”, 采样频率必须大于模拟信号最高频率的两倍,由于语音信号频率为300Hz3. 4kHz,所以选取采样频率为8kHz,理论上即可不失真地回放输入的语音信号。具体的系统总体框图参见图2-1。图2-1 系统总体方案设计整个系统分为数字和模拟两大部分。模拟电路主要由电压放大、功率放大及滤波器组成。数字电路以单片机89C52 为核心, 扩展256KB 的RAM存储器,外加A /D、D /A及键盘等外围电路构成。单片机芯片简介由于本系统采集语音信号频率较低,且逻辑

7、比较简单,从性价比的角度选用单片机比较适合,如选用FPGA、CPLD等可编程逻辑器件,虽然也能完成控制功能,但是系统设计成本将会直线上升,综合考量系统需求,采用市面上应用广泛、设计成熟的AT89C52作为控制芯片,它是一种低电压、高性能CMOS 8位单片机,片内含8KB的可反复檫写的程序存储器和12B的随机存取数据存储器(RAM),器件采用高密度、非易失性存储技术生产,兼容标准MCS-51指令系统,片内配置通用8位中央处理器(CPU)和Flash存储单元,功能强大的AT89C52单片机可灵活应用于各种控制领域。其主要工作特性是:片内程序存储器内含8KB的Flash程序存储器,可擦写寿命为100

8、0次;片内数据存储器内含256字节的RAM;具有32根可编程I/O口线;具有3个可编程定时器;中断系统是具有8个中断源、6个中断矢量、2个级优先权的中断结构;串行口是具有一个全双工的可编程串行通信口;具有一个数据指针DPTR;低功耗工作模式有空闲模式和掉电模式;具有可编程的3级程序锁定位;工作电源电压为5(1+0.2)V,且典型值为5V;最高工作频率为24MHz。第三章 语音信号的数字化3.1语音信号的前端处理由于经拾音器输入的语音信号比较微弱,而模数转换器ADC0809的工作电压在0 + 5V范围内,故而在采集语音信号前,先要对其进行增益放大。本系统采用LM353作为运算放大器1,将语音信号

9、放大至- 2. 5V + 2. 5V,再通过+ 2. 5V电平位移,使信号电压在0 + 5V范围内,满足A /D转换的要求。考虑整流、滤波后的纹波对扬声器输出的影响,本系统采用运算放大器2 (LM386)作功率放大器。由于运算放大器对电源电压具有很强的抑制能力,不仅可大大减小扬声器输出端的纹波电压,同时驱动扬声器放出声音。另外,为了能实现手动音量调节,放大器2的输入端加上一个50k的电位器,以便调整音量。为了滤除不必要的干扰及杂波,系统前向通道和后向通道中各设计了一个通带为300Hz3. 4kHz的带通滤波器。由于人的语音频段在10kHz以下,对于滤波的均衡度要求不高,所以本系统通过LM353

10、制作一阶滤波器进行滤波。此一阶带通滤波器由一个低通滤波器和一个高通滤波器串联而成,其中低通滤波器能滤除3. 4kHz以上的频率信号,减少了因8kHz的采样率引起的混叠失真;高通滤波器能滤除300Hz以下的频率信号,减少了低频信号尤其是工频的影响,大大提高了系统的信噪比。3.2采样理论信息从物理特征上分为:模拟信号与数字信号。话筒输出的话音信号属于模拟信号;而计算机数据属于数字信号。若输入是模拟信号(例如语音信号),则在数字系统(例如计算机系统)的编码部分需要对输入信号进行数字化,或称为“模/数”变换,将模拟信号变为数字信号,以便在数字系统中继续加以处理。数字化过程包括三个步骤:采样,量化和编码

11、。3.2.1 采样模拟信号首先被采样。通常抽样是按照等时间间隔进行的,虽然在理论上并不是必须如此的。模拟信号被抽样后,成为抽样信号,它在时间上是离散的,但是在其数值仍然是连续的,所以是离散模拟信号。根据奈奎斯特采样定理,采样频率必须超过信号最高频率的2倍才能无失真的恢复出原模拟信号,假设对一个音频信号(20Hz20kHz)进行采样,那么用40KHz的时钟就可以了,比如PC的声卡采样频率就是44.1kHz。 图3-1 抽样信号3.2.2 量化数据采集系统的主要功能之一是把模拟信号转换成数字信号,这个过程就是量化。量化,就是用一基本量对和基本量具有同一量纲的模拟量进行比较的过程,其输入是连续的模拟

12、信号,输出是一系列离散的数字信号。在量化过程中所使用的基本量称为量化电平(Quantized Level),它是满量程电压(VFSR)与2N的比值,其中N为数字信号的二进制位数,也是ADC的分辨率。量化电平一般用Q来表示,即Q=VFSR/2N从上式可以看出,Q由VFSR和2N所决定,是能够量化的最小单位,也是经过ADC后输出的数字信号的分辨率。 图3-2 量化信号3.2.3 编码量化得到的数字信号的幅度对应于采样点的模拟信号的幅度,但每一个数字信号必须进行编码以变成计算机可识别的二进制数。采用的编码方式不同,得到的二进制数显然不同。常用的编码方式有单极性二进制编码和BCD编码等。实际上,现有的

13、ADC芯片输出的数字信号一般是己经过编码以后的二进制数,用户不必再考虑编码的问题。 图3-3 编码信号3.3 A/D转换器的设计A/D转换器是将语音信号转化为数字信号的关键部件,其主要参数有采样频率、采样位数和抗干扰性能等。随着超大规模集成电路技术的飞速发展和计算机技术在工业领域的广泛应用,A/D转换器的新设计思想和制造技术层出不穷。为满足各种不同的检测和控制任务的需要,大量结构不同、性能各异的A/D转换电路应运而生。不同的数据采集场合往往选用的ADC器件也不同。3.3.1 常见A/D转换器种类A/D转换器的种类很多,按工作原理不同分为直接A/D转换器和间接A/D转换器两类,直接ADC可以将模

14、拟信号直接转换为数字信号,这类ADC具有较快的转换速度,其典型电路有快闪型和逐次比较型A/D转换器;而间接ADC则是先将模拟信号转换为某一中间变量(时间、频率、脉冲宽度等),然后再将中间变量转换为数字量输出,此类ADC的速度较慢,典型电路是双积分型A/D转换器和电压频率转换型V/F转换器。按照A/D转换器的速度和精度,又可将A/D转换器大致可分为三类:1、高速低(或中等)精度A/D转换器,具体的结构有快闪型、半快闪型型和流水线型。此类A/D转换器速度快,但是精度不高,而且消耗的功耗大,占用的芯片面积也很大,主要用于视频处理、通信、高速数字测量仪器和雷达等领域。2、中速中等精度A/D转换器。这一

15、类型的A/D转换器是以速度来换取精度,如逐次逼近型A/D转换器。这一类A/D转换器的数据输出通常是串行的,它们的转换速度在几十kHz到几百kHz之间,精度也比高速A/D转换器高(1016位),主要用于传感器、自动控制、音频处理等领域。3、中速或低速高精度A/D转换器。此类A/D转换器速度不快,但精度很高(1624位),如-型ADC。该类型A/D转换器主要用于音频、通信、地球物理测量、测试仪、自动控制等领域。3.3.2 系统设计对A/D转换器的要求 由于本系统采集信号为音频,对采样频率和采样精度的要求不高,从经济易行的角度考虑可以选用中速中精度A/D转换器,1、采样速率40kHz-100kHz。

16、本系统要音频信号进行采样,采样速率过小就会造成欠采样,采样速率过高会增加系统成本。2、采样位数8-10位。综合考虑系统存储芯片存储容量和人耳听力分辨力,采用采样位数8-10位的A/D转换器。3、稳定性好,抗干扰能力强。这方面的指标主要是要求信噪比高、无失真动态范围大和电源抑制比高。一般男女语音的最高频率都不超过10kHz,本系统所要求的语音信号为电话语音级: 200Hz3. 4kHz。由香农采样定理可知,要不失真地还原出输入的模拟语音,理论上就要求采样频率至少为8kHz。经典的模数转换器ADC0809, 分辨率为8 位, 转换时间为100s,最大采样频率为10kHz 8kHz,能满足香农采样定

17、理的要求。并且, 0809芯片的数据输出端内部具有三态输出锁存器,可与单片机的数据总线直接连接,接口简单,使用方便。故而,本系统选用ADC0809 作为模数转换器,采样频率为8kHz。对A /D转换结果的读出采用查询方式,即每次通过写信号( /WR引脚)启动A /D转换后,立即查询状态标志,一旦发现转换结束信号( /EOC引脚)呈高电平,表明A /D转换结束,则单片机将数据读入自己内部的RAM区。3.3.3 模数转换芯片ADC0809简介ADC0809是采样分辨率为8位的、以逐次逼近原理进行模数转换的器件。其内部有一个8通道多路开关,它可以根据地址码锁存译码后的信号,只选通8路模拟输入信号中的

18、一个进行A/D转换。1主要特性1)8路输入通道,8位AD转换器,即分辨率为8位。 2)具有转换起停控制端。 3)转换时间为100s4)单个5V电源供电 5)模拟输入电压范围05V,不需零点和满刻度校准。 6)工作温度范围为-4085摄氏度 7)低功耗,约15mW。 2内部结构 ADC0809是CMOS单片型逐次逼近式AD转换器,内部结构如图1322所示,它由8路模拟开关、地址锁存与译码器、比较器、8位开关树型DA转换器、逐次逼近 3外部特性(引脚功能) ADC0809芯片有28条引脚,采用双列直插式封装,如图1323所示。下面说明各引脚功能。 IN0IN7:8路模拟量输入端。2-12-8:8位

19、数字量输出端。ADDA、ADDB、ADDC:3位地址输入线,用于选通8路模拟输入中的一路ALE:地址锁存允许信号,输入,高电平有效。 START: AD转换启动脉冲输入端,输入一个正脉冲(至少100ns宽)使其启动(脉冲上升沿使0809复位,下降沿启动A/D转换)。 EOC: AD转换结束信号,输出,当AD转换结束时,此端输出一个高电平(转换期间一直为低电平)。 OE:数据输出允许信号,输入,高电平有效。当AD转换结束时,此端输入一个高电平,才能打开输出三态门,输出数字量。CLK:时钟脉冲输入端。要求时钟频率不高于640KHZ。 REF(+)、REF(-):基准电压。 Vcc:电源,单一5V。

20、 GND:地。 ADC0809的工作过程是:首先输入3位地址,并使ALE=1,将地址存入地址锁存器中。此地址经译码选通8路模拟输入之一到比较器。START上升沿将逐次逼近寄存器复位。下降沿启动 AD转换,之后EOC输出信号变低,指示转换正在进行。直到AD转换完成,EOC变为高电平,指示AD转换结束,结果数据已存入锁存器,这个信号可用作中断申请。当OE输入高电平 时,输出三态门打开,转换结果的数字量输出到数据总线上。第四章 语音信号的存储4.1 存储方案的选择为实现语音信号实时存储与回放,必须设计可靠的数据缓冲方案。通常构成高速缓存的方案有三种,即双口RAM (Dual-Port RAM) 、F

21、IFO (First In First Out Memory)和高速SRAM切换方式。其中,前两种存储器具有读和写同时进行的能力,而高速SRAM切换方式由于其低廉的成本也得到了广泛的应用。3种存储器的性能比较见下表:表4-1存储器性能比较存储器总线资源访问方式应用场合价格双口RAM两套地址线、数据线和 控制线,引脚多可在任意时间访问 任意存储空间全局存储器和大容量数据 缓冲、存储、共享昂贵FIFO没有地址线,只有 两套数据线只能按先进先出的 顺序读写数据不同时钟域间的数据缓冲;不同宽度数据接口间数据匹配昂贵SRAM 地址线、数据线和 控制线各一套读写分开,不能同 时进行读写操作大容量数据缓冲、

22、存储便宜通过表4-1的比较可以看出:双口RAM具有两套独立的数据、地址和控制线,可从两个端口同时读写而互不干扰,并可将采样数据从一个端口写入,而由控制器从另一个端口读出,可达到很高的传输速度。但其价格比较昂贵,而且在本系统中采集数据和处理数据是按照时间顺序进行的,因此没必要用双口RAM。FIFO存储器就像数据管道一样,数据从管道的一头流入,从另一头流出,先进入的数据先流出,控制方式简单。数据在其中顺序移动,因而能够达到很高的传输速度和效率,是数据缓冲的首选方式,但大容量的高速FIFO存储器价格非常昂贵。从减少系统成本的角度,可以在单片机内部集成FIFO。但是受单片机内部BRAM的限制,FIFO

23、的存储深度不可能很大,而本系统又需要较大的数据缓冲能力,即要求FIFO具有相当的存储深度,因此需要对存储器扩充。对存储器进行扩充,主要涉及到以下两个关键因素:空间、速度。第三种高速SRAM切换方式虽然只有一套地址线,不具备读写操作同时进行的能力,但它可通过时分复用地址线和数据线的办法来实现读和写操作的交替进行,模仿FIFO的功能。而且大容量的高速SRAM相对于数据缓冲来说有足够的空间,其读写速度一般在15ns左右,且价格比前两者低得多,满足系统要求。因此本设计采用折中方案,即采用单片机内部集成FIFO加扩展SRAM仿真FIFO功能的方式作为数据缓冲方案,这样既节约了成本又达到了设计要求。4.2

24、 FIFO特点简介FIFO存储器是近几年面市的一种特殊存储器件,其特点是在同一芯片里的同一存储单元配备有两个数据口,一个是输入口,只负责数据的写入,另一个是输出口,只负责数据的输出。另外在对这种存储器进行读和写的操作时不需要地址线参与寻址,它的数据是按照一种环形结构依次进行存放的,因而省去了大量的地址线,使接口电路的设计和控制变得简单。FIFO存储器另一个与传统存储器不同的地方是传统存储器的一个存储单元中只要写入一个数据后,这个数据将一直保存,直到一个新的数据将其覆盖,无论这个存储单元的数据被读取过多少次都是如此。而FIFO存储器中的存储单元则不是这样,写入的数据一旦被读取后,则这个数据再也无

25、法被读取就像永远消失了一样。所以,FIFO存储器在操作时由“空”和“满”的标志位来表示FIFO存储器内部的状态。确定FIFO是否为空或满的方法是比较读指针和写指针,如它们不相等,则肯定既不是空状态也不是满状态。如读写指针相等并且最后的操作是读,则FIFO处于空状态。如读写指针相等且最后的操作是写,则FIFO处于满状态,不能继续写入数据。4.3 扩展SRAM仿真FIFO采用外部SRAM实现FIFO的具体做法为:将三态缓冲门分别接到读写控制器上,在进行写操作时,SRAM由三态门切换到同步FIFO一侧,以使采样数据写入其中。在进行读操作时,SRAM再由三态门切换到异步FIFO一侧进行读写。这种方式的

26、优点是SRAM可随机存取,同时较大容量的高速SRAM有现成的产品可供选择。在设计中使FPGA中的内部的数据传输时钟与外部的SRAM时钟同步,并且要求FPGA内部的使能端与SRAM的CE为一个同步使能,这样使数据达到同步的目的。SRAM采用HM628128,容量256kB,读写周期15ns,+5V供电,TTL兼容。第五章 语音信号的回放语音回放需将存储的数字语音数据通过D /A转换器转换成语音模拟信号。本系统采用典型的D /A转换芯片AD9764,字长为14位,可直接与单片机连接。但AD9764的输出量是电流,而实际应用中需要模拟语音电压。为此,在AD9764的输出端接反相电压转换电路。这样,数

27、字信号经D /A 转换、双极性电流至电压变换后已成为模拟语音信号,经带通滤波器再送往音频功率放大器,做适当的功率提升来推动扬声器发声。5.1 数模转换器设计对于D/A转换器性能的考虑,应包括建立时间、尖峰脉冲能量、转换位数和积分线性等方面。与A/D转换器的采样位数和输出信噪比的关系类似,增大D/A转换器的位数也可以增大信噪比,减小电压幅值量化误差,为满足信号模拟的信噪比要求,采用14位D/A转换器。5.1.1 AD9764芯片简介AD9764是高性能的TXDACTM系列中的一款低功耗CMOS数/模转换器,14位分辨力、125MHz转换速率、片内1.20V基准、边沿触发锁存、极佳的动态无杂波失真

28、范围和交调失真、输入数据格式为标准正二进制码、典型功耗190mW。AD9764的功能模块框图如图5-1所示:+5V+5VACOMREFIOSLEEP数字输入(DB13-DB0)IOUTBIOUTACLOCK锁存器(在时钟上升沿数据被锁存)DVDDDCOM分段开关最低有效位开关+FS ADJREFIO+1.2V参考COMP2AVDDCOMP1电流源阵列AD9764图5-1 AD9764的内部功能框图AD9764采用28脚SOIC封装、单5V电源供电、差分电流输出范围:2-20mA、可选择内部或外部参考电压,其引脚功能说明如表5-1所示:表5-1 AD9764的引脚功能说明引脚名称功能引脚名称功能

29、1DB13最高位(MSB)2-13DB12-1数据位1-1214DB0最低位(LSB)15SLEEP掉电控制输入16REFLO参考地(内部参考)17REFIO基准输入/输出18FS ADJ满量程电流输出调节19COMP1带宽/噪声下降节点20ACOM模拟公共地21IOUTB电流输出端B22IOUTA电流输出端A23COMP2驱动电路偏置节点24AVDD模拟电源电压25NC无内部连接26DCOM数字公共地27DVDD数字电源电压28CLOCK时钟输入5.1.2 AD9764芯片的工作原理5.1.2.1 数字输入AD9764的数字输入包括14个数据输入引脚和1个时钟输入引脚,这14位并行数据输入遵

30、循标准正二进制编码,其中DB13是最高有效数据位(MSB),而DB0是最低有效数据位(LSB)。如图3-4,输入数据在在时钟上升沿时被锁存器锁存,转换后的数据输出也在时钟上升沿被刷新新,时钟频率最高可达125MSPS。5.1.2.2 模拟输出AD9764输出两路互补电流:IOUTA和IOUTB,当所有数据位都是逻辑1时,IOUTA将产生一个满量程输出电流IOUTFS,而IOUTB则产生一个电流为零的互补输出。IOUTA和IOUTB都是输入码DAC CODE与满量程输出电流IOUTFS的函数,关系如下: IOUTA=(DAC CODE/16384)IOUTFS (3-1) IOUTB=(1638

31、3-DAC CODE)/16384IOUTFS (3-2)其中,DAC CODE为并行输入的14位数据,满量程输出电流IOUTFS的大小取决于VREFIO与满量程电流输出调节端(FS ADJ)的负载电阻RSET,关系如下: IOUTFS=32IREF=32VREFIO/RSET (3-3)IOUTA和IOUTB能通过负载电阻RLOAD转换成互补单端电压输出VOUTA和VOUTB,存在于VOUTA和VOUTB之间的差分电压VDIFF也能够通过变压器或差分放大器转换成单端电压,关系如下:VDIFF=(IOUTA-IOUTB) RLOAD=(2DAC CODE-16383)/16384IOUTFSR

32、LOAD=(2DAC CODE-16383)/16384(32VREFIO/RSET)RLOAD (3-4)式(3-4)也显示了AD9764采用差分操作的优点,首先,差分输入抵消了IOUTA和IOUTB端由于噪声、失真、直流偏置等引入的共模干扰;其次,VDIFF端的输出信号电压是单端输出电压VOUTA或VOUTB的2倍,因此为负载提供的功率也是单端时的2倍。5.1.2.3 参考电压的选用AD9764的参考电压的选择有两种方式:内部参考与外部参考。参考方式通过REFLO引脚的连接方式来选择,当REFIO与ACOM相连时,内部参考方式被激活,此时REFIO提供1.20V的电压输出;当REFLO与A

33、VDD相连时,内部参考方式被取消,外部参考方式被激活,REFIO的电压由外部提供,以提高电压精度和抗漂移性能,而且还可以通过调整外部参考电压以达到增益控制的目的,此时REFIO端的输入阻抗为高阻状态数字化语音存储与回放系统 第16页 共20页数字化语音存储与回放系统第六章 软件设计本系统软件设计采用汇编语言,程序编写好后由汇编程序编译为机器语言,通过烧录器将程序烧录到单片机中,以实现控制外围各种芯片的功能。汇编语言是一种功能很强的程序设计语言,也是利用计算机所有硬件特性并能直接控制硬件的语言。AT89C52片内置通用8位中央处理器和Flash存储单元,该芯片有6个中断源,2个中断优先级,IE寄

34、存器控制各中断位,IP寄存器中6个中断源的每一个可定为2个优先级,适用于许多较为复杂的控制场合。在本系统中,AT89C52负责对A/D转换、数据存储和D/A转换芯片的控制。为了保证8kHz的回放转换频率,单片机通过软件编程来控制内部定时器T2 的启/停工作。因此,在软件主程序中,设置T2 工作于定时中断模式, 定时时间为125s。图6-1主程序流程图在中断服务程序中,要根据按键的类型完成不同的任务。若是“录音”键被按下,则进行A /D 转换,将转换结果保存在RAM中; 若是“回放”键被按下,则从RAM中读出语音数据,进行D /A转换。程序流程图分别参见图6-2。图6-2中断子程序流程图参考文献

35、1 徐江高速高精度数据采集技术研究D成都:电子科技大学,2003.062 李华. MCS系列单片机实用接口技术M . 北京:北京航空航天大学出版社, 1993.3 潘新民. 单片机实用系统设计M . 北京:人民邮电出版社, 1992.4 硕力更数字中频接收机模拟前端的设计D南京理工大学,2004:42-435 许嘉林,卢艳娥,丁子明ADC信噪比的分析及高速高分辨率ADC电路的实 现Z 谢本课题是在导师的精心指导下完成的。x教员严谨求实的工作作风和治学精神使我受益匪浅。两个多月的毕业设计过程中,x教员在百忙之中抽出时间对我们认真指导,多方面支持,给出了许多宝贵的指导意见,拓宽了我们的思路,并帮助

36、解决了许多难题。本课题中用到的许多设计方法、编程思想都是在x教员多年研究的基础上实现的,是在他创造性劳动的基础上我们才取得本次毕业设计的成功。在此谨向他表示衷心的感谢!感谢xxx教研室领导对我们毕业设计工作的关心与支持,为我们提供了良好的毕业设计场所和完备的实验器材,使毕业设计能够顺利进行。感谢学员x队队领导在毕业设计期间对我们的督促和检查,保证了本次设计工作的进度和质量,并最终圆满完成了本次毕业设计工作。最后,还要感谢合作伙伴xx同志,在毕业设计过程中他充分发扬团队精神,在程序编写方面给了我许多有益的建议。他大胆采用各种编程新思路、新方法,在时间紧、任务重的情况下圆满完成了雷达显示器控制面板的软件设计,使得最后的系统联调工作能够如期进行。数字化语音存储与回放系统 第20页 共20页

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 研究报告 > 信息产业


经营许可证编号:宁ICP备18001539号-1