FPGA温度测量设计毕业论文.doc

上传人:小小飞 文档编号:3902348 上传时间:2019-10-10 格式:DOC 页数:61 大小:1.86MB
返回 下载 相关 举报
FPGA温度测量设计毕业论文.doc_第1页
第1页 / 共61页
FPGA温度测量设计毕业论文.doc_第2页
第2页 / 共61页
FPGA温度测量设计毕业论文.doc_第3页
第3页 / 共61页
FPGA温度测量设计毕业论文.doc_第4页
第4页 / 共61页
FPGA温度测量设计毕业论文.doc_第5页
第5页 / 共61页
点击查看更多>>
资源描述

《FPGA温度测量设计毕业论文.doc》由会员分享,可在线阅读,更多相关《FPGA温度测量设计毕业论文.doc(61页珍藏版)》请在三一文库上搜索。

1、毕业论文FPGA温度测量设计摘 要温度作为一种最基本的环境参数,与人民的生活有着密切关系。温度的测量和控制在工业、农业、国防、医疗等各个领域中应用普遍。温度测量仪是一种常用的检测仪器。本文首先介绍了DS18B20的工作原理,利用数字温度传感器DS18B20的数据接口特点,展示了FPGA(Field-Programmable Gate Array,即现场可编程逻辑门阵列)的使用方法以及Verilog HDL(HDL:Hardware Discription Language)语言的编程,完成了基本温度测量功能。给出了硬件电路和软件设计,此设备具有结构简单、转换速度快、精确性高,扩展性好等优点。关

2、键词:FPGA;DS18B20;测温;Verilog HDL语言Design of temperature measurement based on FPGAAbstractTenperture is one of the most basic environmental parameters, and it has a close relationship with peoples life. In industry, agriculture, national defense,medical and other fields, temperature measurement and con

3、trol was widely used.The temperature measuring instrument is a kind of common testing instrument.In this paper,first we introduces the work principle of DS18B20,and the characteristics of data interface of digital temperature sensor DS18B20, demonstrated how to use FPGA(Field-Programmable Gate Array

4、) and the Verilog HDL(HDL:Hardware Discription Language)programming language,accomplished the function of temperature measurement. Given the hardware circuit and software design.The device has the advantages of simple structure,fast transform speed,high accuracy,good scalability,and so on.Key Words:

5、 FPGA;DS18B20;Temperature measurement;Verilog HDL language目 录中文摘要.1英文摘要.21绪论11.1题目背景意义11.2工作内容21.2.1技术指标和要求21.2.2设计的难点重点22系统方案设计32.1温度测量原理及方法32.2系统方案及方框图32.3FPGA技术42.4DS18B20温度传感器62.4.1DS18B20特性介绍62.4.2DS18B20测温原理72.4.3DS18B20供电方式82.4.4DS18B20时序92.4.5DS18B20操作命令102.5硬件设计112.5.1FPGA最小系统硬件原理图112.5.2DS

6、18B20的连接122.5.3数码管显示电路122.5.4电源电路132.5.5时钟电路132.5.6复位电路132.6软件设计流程图133功能模块建立及仿真153.1Quartus及VHDL语言153.1.1Quartus介绍153.1.2Verilog HDL介绍163.1.3Quartus的使用173.2分频193.3温度信号采集203.4数码管显示203.5顶层原理图214下载调试及实际测量225设计遇到的问题及误差分析235.1设计遇到的问题及分析235.2误差分析236总结24致 谢25参考文献26毕业设计(论文)知识产权声明27毕业设计(论文)独创性声明285西安工业大学毕业设计

7、(论文)1 绪论1.1 题目背景意义温度是表征物体冷热程度的物理量,是国际单位制七个基本物理量之一,作为一种最基本的环境参数,与人民的日常生活有着密切关系。温度的测量和控制在工业、农业、国防、医疗等各个领域中应用普遍。温度测量方法也有很多,基本分为两大类,接触式和非接触式。接触式测温方法包括膨胀式测温、电量式测温、接触式光电,热色测温等几类。接触测温法在测量时需要与被测物体或介质充分接触,一般测量的是被测对象和传感器的平衡温度,测量时会对被测温度有影响。非接触式测温方法不需要与被测对象接触,因而不会有干扰,动态特性很好,但会受到被测对象表面状态或测量介质的影响。电量式测温方法主要利用材料的电势

8、,电阻或其他电性能与温度的单值关系进行温度测量,包括热电偶温度测量、热电阻和热敏电阻的温度测量、集成芯片温度测量等。随着电子技术的发展,可以将感温元件和相关电子线路集成在一个小芯片上,构成一个小型化,一体化及多功能化的专用集成电路芯片,输出信号可以是电压、频率、或总线数字信号,使用非常方便,适用于便携式设备,有着广泛的适用范围。 FPGA(Filed-Programmable Gate Array)即现场可编程逻辑门阵列,是在PAL、GAL、CPLD等可编程器件基础上进一步发展的产物,它是作为专用集成电路领域中的一种半制定电路而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限

9、的缺点。与单片机或其他技术相比较而言,FPGA芯片内部集成了很多有用的模块,其运行速度快,编程较为简单,适用场合多,拓展性强,降低了设计难度。DS18B20温度传感器接线方便,封装多样,具有体积小、使用方便、耐磨耐碰等优点,适用于各种狭小空间设备数字测温和控制领域,是各种温度测量场合的首选产品。在本文中,利用FPGA器件与DS18B20温度传感器设计实现了一种数字温度测量仪,检测室温,与其它系统相比较,此测量仪具有结构简单、抗干扰能力强、精确性高、转换速度快、扩展性好等优点。通过本设计可以对FPGA技术加深印象,在今后的设计与开发工作中将大有用处。1.2 工作内容1.2.1技术指标和要求 在本

10、次设计中,我们需要用DS18B20测量实时温度,用四位共阳数码管来显示,要求达到以下几个要求技术指标:测量范围:1525,分辨率:0.5,显示位数:4位显示带小数点工作要求: 1.了解温度测量系统设计不同方案,确定方框图; 2.掌握数字传感器及FPGA芯片功能,划出硬件原理图; 3.根据模块划分设计系统软件,学习VHDL或Verilog语言,并在Quartus环境下仿真,观察正确性; 4.完成电路硬件组装及调试1.2.2设计的难点重点1.软件设计部分重点是流程图的设计与各模块编程,难点在于编程的实现以及DS18B20温度传感器与FPGA芯片之间的通信;2.硬件部分要深入理解DS18B20器件,

11、难点在于各个电路单元单路设计和各单元电路的连接;3.整个设计重点在于熟练的掌握DS18B20的工作原理和应用Quartus软件。2西安工业大学毕业设计(论文)2 系统方案设计2.1 温度测量原理及方法温度是表征物体冷热程度的物理量,温度测量仪是利用物质各种物理性质随温度变化的规律,把温度转换为电量并显示的一种仪器,温度的测量和控制已经发展为重要的课题,在工程应用和科学研究等很多场合都十分需要,比如大型饲养场,蔬菜大棚等等。温度的测量方法有最基本的两种,接触式和非接触式,非接触式又称为辐射测温。大多数情况下,我们都采用接触式测量方法,利用温度传感器或相关器件,比如基于AT89C51单片机的温度测

12、量和基于ARM的温度测量系统等等,经过比较,决定采用FPGA技术和温度传感器DS18B20组成的系统来实现测量温度的功能。后面将对其进行阐述。2.2 系统方案及方框图鉴于使用FPGA,开发板上没有温度传感器,所以需要添加传感器DS18B20,而DS18B20有两种传输途径,一种是无线传输,一种是有线传输。对于无线传输,优点是测量更加灵活方便,不局限于线的长度,缺点是必须增加外部设备,增加了设计的难度,所以我们采用第二种,有线传输方式,在实现功能的同事设备简单,难度降低。DS18B20是将采集到的无难度信号转换为9到12位的串行数据,而数码管显示是通过8位并行数据,所以需要对采集到的串行数据进行

13、转化处理送到数码管来显示。FPGA工作频率是1MHz,开发板上时钟信号是50MHz,所以需要通过编程来实现分频,经过考虑,画出系统方框图(图1)分 频温度信号采集数码管显示FPGA控制图2.1 系统方案设计分频:将50MHz的时钟频率进行分频产生1MHz频率信号,为FPGA器提供正常工作频率。温度信号采集:从外界获得温度信号,发送给FPGA控制模块;FPGA控制:根据DS18B20所遵循的初始化时序、读时序、写时序等通信协议对DS18B20传感器进行初始化和访问,将从DS18B20读取的二进制温度信息进行处理送给数码管;显示模块:从FPGA控制模块获得输出数据,将其译为七段值,在共阳数码管上显

14、示采集到的温度信息。2.3 FPGA技术FPGA(FieldProgrammable Gate Array,即现场可编程门阵列),它是在PAL、GAL、CPLD等可编程器件的基础上进一步发展的产物。是美国Xilinx公司于1984年首先开发的一种通用型用户可编程器件。FPGA既具有门阵列器件的高集成度和通用性,又有可编程逻辑器件用户可编程的灵活性。它是作为专用集成电路(ASIC)领域中的一种半制定电路出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的特点。以硬件描述语言(VHDL或Verilog)所完成的电路设计,可以经过简单的综合与布局,快速烧至FPGA上进行测试,是现在I

15、C设计验证的技术主流。这些可编程元件可以被用来实现一些基本的逻辑电路(比如AND、OR、NOT、XOR)或者更复杂一些的组合功能比如解码器或数学方程式。在大多数的FPGA里面,这些可编程的元件里也包含记忆元件若触发器或者其他更完整的记忆块。传统上FPGA只能实现相对较小的逻辑电路,随着工艺技术的提高, FPGA的容量和性能也不断提高,如今FPGA已经被用于实现大的逻辑电路甚至整个系统。这些大的系统相对于传统上一直作为FPGA市场目标的小逻辑分支电路有着很大的不同。其中一个最重要的不同就是这些大的系统中包含存储器。所以,要有效的在FPGA中实现存储器,结构的支持至关重要。FPGA一般来说比专用集

16、成芯片的速度要慢,无法完成复杂设计,但是功耗低。他们也有很多优点,比如可以快速成品,可以修改程序或者改正程序中的错误,而且造价十分便宜。FPGA从诞生以来,经历了从配角到主角的过程,从初期开发应用到限量生产应用再到大批量生产应用的发展历程。从技术上来说,最初只是逻辑器件,现在强调平台概念,加入数字信号处理、嵌入式处理、高速串行和其他高端技术,从而被应用到更多的领域,让更多学FPGA的人看到了希望,其广阔的前景正是我们选择的原因之一。由于用户可以迅速对PLD进行编程,按照需求实现特殊功能,与ASIC和ASSP相比,PLD在灵活性、开发成本以及产品及时面市方面更具优势,所以未来FPGA将会是一个非

17、常有前景的行业。FPGA由于其结构的特殊性,可以重复编程,开发周期较短,越来越受人们的青睐。其工作原理如下,FPGA采用了逻辑单元阵列LCA(Logic Cell Array)的概念,内部包括可配置逻辑模块(Configurable Logic Black),输入输出模块IOB(Input Output Block)和内部连线(Interconnect)三个部分。FPGA是可编程器件,与传统逻辑电路和门阵列相比,FPGA结构不同,FPGA利用小型查找表(16x1RAM)来实现组合逻辑,每个查找表连接到一个D触发器的输入端,触发器再来驱动其他逻辑电路或驱动I/O模块。由此构成了既可实现组合逻辑功

18、能又可实现时序逻辑功能的基本逻辑单元模块,这些模块间利用金属连线互相连接或连接到I/O模块。FPGA的逻辑是通过内部静态存储单元加载编程数据来实现的,存储在存储器单元中的值决定了逻辑单元的逻辑功能以及各模块之间或模块与I/O间的连接方式,并最终决定了FPGA所能实现的功能,FPGA允许无限次的编程。其基本特点是:采用FPGA设计专用集成电路(ASIC),用户不需要投片生产,就能得到想用的芯片;可做其他全定制或半定制ASIC电路的中试样片;内部有丰富的触发器和I/O引脚;是专用集成电路中设计周期最短、开发费用最低、风险最小的器件之一;采用高速CMOS工艺,功耗低,可以与CMOS、TTL电平兼容。

19、加电时,FPGA芯片将EPROM中数据读入片内编程RAM中,配置完成后,FPGA进入工作状态。掉电后,FPGA恢复成白片,内部逻辑关系消失,因此,FPGA能够反复使用。FPGA的编程无需专用的FPGA编程器,只需用通用的EPROM、PROM编程器即可。当需要修改FPGA功能时,只需换一片EPROM即可。这样,同一片FPGA,不同的编程数据,可以产生不同的功能。所以,FPGA使用起来非常灵活。FPGA设计也有自己的原则,其原则如下:1.面积与速度的平衡互换原则这里的面积指的是FPGA的芯片资源,包括逻辑资源和I/O资源等;这里的速度指的是FPGA工作的最高频率(和DSP或者ARM不同,FPGA设

20、计的工 作频率是不固定的,而是和设计本身的延迟紧密相连)。 在实际设计中,使用最小的面积设计出最高的速度是每一个开发者追求的目标,但是“鱼和熊掌不可兼得”,取舍之间展示了一个开发者的智慧。2.硬件可实现原则FPGA设计通常会使用HDL语言,比如Verilog HDL或者VHDL。当采用HDL语言来描述一个硬件电路功能的时候,一定要确保代码描述的电路是硬件可实现的。Verilog HDL语言的语法与C语言很相似,但是它们之间有着本质的区别。C语言是基于过程的高级语言,编译后可以在CPU上运行。而Verilog HDL语言描述的本身就是硬件结构,编译后是硬件电路。因此,有些语句在C语言的环境中应用

21、是没有问题的,但是在HDL语言环境下就会导致结果不正确或者 不理想。3.同步设计原则同步电路和异步电路是FPGA设计的两种基本电路结构形式。异步电路的最大缺点是会产生毛刺。同步设计的核心电路是由各种触发器构成的。这类电路的任何输出都是在某个时钟的边沿驱动触发器产生的。所以,同步设计可以很好地避免毛刺的产生。随着可编程逻辑技术的不断进步和创新,FPGA已被广泛应用于通信、航天、医疗电子、工业控制等领域。上90年代后期FPGA市场就已经过一番激烈整合,许多业者不是退出PLD(可程序化逻辑装置)市场,就是出售其PLD业务部门,或将PLD业务部门分立成独立公司,或进行购并等。时至今日,FPGA市场的主

22、要业者仅剩数家,包括Altera、Xilinx(赛灵思,过去称为:智霖科技)、Actel、Atmel、Lattice。FPGA(现场可编程逻辑器件)产品的应用领域已经从原来的通信扩展到消费电子、汽车电子、工业控制、测试测量等广泛的领域。而应用的变化也使FPGA产品近几年的演进趋势越来越明显:一方面,FPGA供应商致力于采用当前最先进的工艺来提升产品的性能,降低产品的成本;另一方面,越来越多的通用IP(知识产权)或客户定制IP被引入FPGA中,以满足客户产品快速上市的要求。此外,FPGA企业都在大力降低产品的功耗,满足业界越来越苛刻的低功耗需求。由于其现场逻辑功能可重构且具有高集成度、高密度和高

23、性能等特点,因而得到了迅猛发展。FPGA芯片所包含的资源越来越丰富,可实现的功能也越来越强,这使得FPGA在电子电路设计中越来越重要。目前FPGA已经渗透到人们日常生活的各个方面,在手机、电视、数码相机、洗衣机、电冰箱、空调,甚至电饭锅、手表里,都有它们的身影。在工业自动化控制、通信、仪器仪表、汽车、船舶、航空航天、军事设备、消费类电子产品等领域更是它们的天下。2.4 DS18B20温度传感器2.4.1DS18B20特性介绍 DS18B20数字式温度传感器,与传统的热敏电阻有所不同,它采用集成芯片,单总线技术,能够有效地减小外界的干扰,提高测量的准确性或者精度,同事,它可以直接将温度信号转化成

24、串行数字信号提供给微处理器,由于接口简单,使得数据传输和处理更加简单化,它是部分功能电路的集成,使得总体设计更加简洁,有效地降低了成本,在搭建与焊接电路时,更加省时省力,调试起来也十分方便,从而大大缩短了研发周期。DS18B20的主要特征:1.采用单总线接口方式与微处理器连接时,仅需要一条口线即可实现微处理器与DS18B20的双向通讯。单总线具有经济性好、抗干扰能力强,适合于恶劣环境的现场测温,使用方便;2.测量范围宽,精度高,DS18B20的测量范围是-55+125;在-1085范围内,精度为0.5;3.在使用中不需要任何外围元件;4.多个DS18B20可以并联在唯一的三线上,实现多点测温;

25、5.供电方式灵活,DS18B20可以通过内部寄生电路从数据线上获取电源,也可以外界电源;6.测量参数可配置,DS18B20的测量分辨率可通过程序设定912位;7.负压特性,电源极性接反时温度计不会因发热而烧毁,但不能正常工作;8.掉电保护功能,DS18B20内部含有EEPROM,在系统掉电后,仍可保存功能。下面将对其内部结构做以介绍,DS18B20采用脚PR35封装或脚SOIC封装,其内部结构框图如图2-2所示。64位ROM的结构开始位是产品类型的编号,接着是每个器件的惟一的序号,共有48位,最后位是前面56位的CRC检验码,这也是多个DS18B20可以采用一线进行通信的原因。温度报警触发器和

26、,可通过软件写入户报警上下限。DS18B20温度传感器的内部存储器还包括一个高速暂存和一个非易失性的可电擦除的EERAM。高速暂存RAM的结构为字节的存储器,结构如图2-2所示。头个字节包含测得的温度信息,第和第字节和的拷贝,是易失的,每次上电复位时被刷新。第个字节,为配置寄存器,它的内容用于确定温度值的数字转换分辨率。DS18B20工作时寄存器中的分辨率转换为相应精度的温度数值。该字节各位的定义如图3-4所示。低位一直为,是工作模式位,用于设置DS18B20在工作模式还是在测试模式,DS18B20出厂时该位被设置为,用户要去改动,R1和0决定温度转换的精度位数,来设置分率。 图2.2 DS1

27、8B20内部结构图 图2.3 DS18B20引脚图2.4.2DS18B20测温原理DS18B20内部的低温度系数振荡器是一个振荡频率随温度变化很小的振荡器,为计数器1提供一个频率稳定的计数脉冲。高温度系数振荡器是一个振荡频率对温度很敏感的振荡器,为计数器2提供一个频率随温度变化的计数脉冲。初始时,温度寄存器被预置成-55,每当计数器1从预置数开始减计数到0时,温度寄存器中寄存的温度值就增加1,这个过程重复进行,直到计数器2计数到0时便停止。初始时,计数器1预置的是与-55相对应的一个预置值。以后计数器1每一个循环的预置数都由斜率累加器提供。为了补偿振荡器温度特性的非线性性,斜率累加器提供的预置

28、数也随温度相应变化。计数器1的预置数也就是在给定温度处使温度寄存器寄存值增加1计数器所需要的计数个数。DS18B20内部的比较器以四舍五入的量化方式确定温度寄存器的最低有效位。在计数器2停止计数后,比较器将计数器1中的计数剩余值转换为温度值后与0.25进行比较,若低于0.25,温度寄存器的最低位就置0;若高于0.25,最低位就置1;若高于0.75时,温度寄存器的最低位就进位然后置0。这样,经过比较后所得的温度寄存器的值就是最终读取的温度值了,其最后位代表0.5,四舍五入最大量化误差为1/2LSB,即0.25。温度寄存器中的温度值以9位数据格式表示,最高位为符号位,其余8位以二进制补码形式表示温

29、度值。测温结束时,这9位数据转存到暂存存储器的前两个字节中,符号位占用第一字节,8位温度数据占据第二字节。DS18B20测量温度时使用特有的温度测量技术。DS18B20内部的低温度系数振荡器能产生稳定的频率信号;同样的,高温度系数振荡器则将被测温度转换成频率信号。当计数门打开时,DS18B20进行计数,计数门开通时间由高温度系数振荡器决定。芯片内部还有斜率累加器,可对频率的非线性度加以补偿。测量结果存入温度寄存器中。一般情况下的温度值应该为9位,但因符号位扩展成高8位,所以最后以16位补码形式读出。2.4.3DS18B20供电方式DS18B20有两种供电方式,一种是寄生电源强上拉供电方式(图2

30、.4),一种是外部供电方式(图2.5),如下图:图2.4 寄生电源强上拉供电方式电路图在寄生电源供电方式下,DS18B20从单线信号线上汲取能量:在信号线DQ 处于高电平期间把能量储存在内部电容里,在信号线处于低电平期间消耗电容上的电能工作,直到高电平到来再给寄生电源(电容)充电。为了使 DS18B20 在动态转换周期中获得足够的电流供应,当进行温度转换或拷贝到 E2存储器操作时,用MOSFET 把 I/O 线直接拉到 VCC 就可提供足够的电流,在发出任何涉及到拷贝到E2存储器或启动温度转换的指令后,必须在最10S内把I/O线转换到强上拉状态。在强上拉方式下可以解决电流供应不走的问题,因此也

31、适合于多点测温应用,缺点就是要多占用一根I/O口线进行强上拉切换。 图2.5 外部电源供电方式电路在外部电源供电方式下,DS18B20工作电源由VDD引脚接入,此时I/O线不需要强上拉,不存在电源电流不足的问题,可以保证转换精度,同时在总线上理论可以挂接任意多个 DS18B20传感器,组成多点测温系统。在外部供电的方式下,DS18B20的GND引脚不能悬空,否则不能转换温度,读取的温度总是85。2.4.4DS18B20时序DS18B20的工作方式看似简单,只是单线传输,直接解读,其实初始化与读写操作比较复杂,时序要求非常精确,一下将一一介绍初始化:使用DS18B20时, 首先需将其复位, 然后

32、才能执行其它命令。复位时, 主机将数据线拉为低电平并保持480us960us,然后释放数据线, 再由上拉电阻将数据线拉高15us60us,等待DS18B20发出存在脉冲, 存在脉冲有效时间为60 us240us,这样,就完成了复位操作。其复位时序如图2.6所示2.6 初始化时序 2.7 写时序写时隙:在主机对DS18B20写数据时, 先将数据线置为高电平, 再变为低电平, 该低电平应大于1us。在数据线变为低电平后15us内,根据写“1”或写“0” 使数据线变高或继续为低。DS18B20将在数据线变成低电平后15us 60us内对数据线进行采样。要求写入DS18B20的数据持续时间应大于60u

33、s而小于120us,两次写数据之间的时间间隔应大于1us。写时隙的时序如图2.7所示读时隙:当主机从DS18B20读数据时, 主机先将数据线置为高电平, 再变为低电平, 该低电平应大于1us,然后释放数据线,使其变为高电平。DS18B20在数据线从高电平变为低电平的15us内将数据送到数据线上。主机可在15us后读取数据线。读时隙的时序如图2.8所示图2.8 读时隙2.4.5DS18B20操作命令主机可通过一线端口对DS18B20进行操作,其步骤为:初始化命令(复位)ROM功能命令存储器功能命令执行/数据,DS18B20的ROM命令有5个,存储器命令有6个。命令的执行都是由复位、多个读时隙和写

34、时隙基本时序单元组成。因此,只要将复位、读时隙、写时隙的时序了解清楚, 使用DS18B20就比较容易了, 其操作指令如下。1.Read ROM33H 2.Match ROM55H:这个是匹配ROM命令,后跟64位ROM序列,让总线控制器在多点总线上定位一只特定的DS18B20。只有和64位ROM序列完全匹配的DS18B20才能响应随后的存储器操作。所有和64位ROM序列不匹配的从机都将等待复位脉冲。这条命令在总线上有单个或多个器件时都可以使用。3.Skip ROM0CCH:这条命令允许总线控制器不用提供64位ROM编码就使用存储器操作命令,在单点总线情况下,可以节省时间。如果总线上不止一个从机

35、,在Skip ROM命令之后跟着发一条读命令,由于多个从机同时传送信号,总线上就会发生数据冲突(漏极开路下拉效果相当于相“与”)。4.Search ROM0F0H:当一个系统初次启动时,总线控制器可能并不知道单线总线上有多个器件或它们的64位编码,搜索ROM命令允许总线控制器用排除法识别总线上的所有从机的64位编码。5.Alarm Search0ECH:这条命令的流程和Search ROM相同。然而,只有在最近一次测温后遇到符合报警条件的情况,DS18B20才会响应这条命令。报警条件定义为温度高于TH或低于TL。只要DS18B20不掉电,报警状态将一直保持,知道再一次测得的温度值达不到报警条件

36、。6.Write Scratchpad4EH:这个命令向DS18B20的暂存器TH和TL中写入数据。可以在任何时刻发出复位命令来中止写入。7.Read Scratchpad0BEH:这个命令读取暂存器的内容。读取将从第1个字节开始,一直进行下去,直到第9(CRC)字节读完。如果不想读完所有字节,控制器可以在任何时间发出复位命令来中止读取。8.Copy Scratchpad48H:这个命令把暂存器的内容拷贝到DS18B20的EROM存储器里,即把温度报警触发字节存入非易失性存储器里。如果总线控制器在这条命令之后跟着发出读时间隙,而DS18B20又忙于把暂存器拷贝到E存储器,DS18B20就会输出

37、一个0,如果拷贝结束的话,DS18B20则输出1。如果使用寄生电源,总线控制器必须在这条命令发出后立即启动强上拉并保持10ms。9.Convert T44H:这条命令启动一次温度转换而无需其他数据。温度转换命令被执行,而后DS18B20保持等待状态。如果总线控制器在这条命令之后跟着发出时间隙,而DS18B20又忙于做时间转换的话,DS18B20将在总线上输出0,若温度转换完成,则输出1,。如果使用寄生电源,总线控制必须在发出这条命令后立即启动强上拉,并保持500ms以上时间。10.Recall E:这条命令把报警触发器里的值拷贝回暂存器。这种拷贝操作在DS18B20上电时自动执行,这样器件一上

38、电,暂存器里马上就存在有效的数据了。若在这条命令发出之后发出读数据隙,器件会输出温度转换忙的标识:0为忙,1为完成。11.Read Power Supply0B4H:若把这条命令发给DS18B20后发出读时间隙,器件会返回它的电源模式:0为寄生电源,1为外部电源。2.5 硬件设计设计采用FPGA器件和DS18B20实现温度测量,核心芯片采用ALTERA公司生产的CycloneII系列EP2C8Q208C8芯片,将DS18B20与FPGA开发板焊接在一起,同时使能温度传感器和数码管显示模块,元件安装示意图如图2.9所示,该系统要正常工作,还需要电源电路、下载电路、时钟电路,复位电路等单元电路支持

39、,下面将对其进行说明。 图2.9 FPGA开发板与DS18B20的连接2.5.1FPGA最小系统硬件原理图 FPGA测温最小系统主要由FPGA器件、时钟电路、电源电路、显示电路等组成。硬件原理图如图2.10图2.10 FPGA测温最小系统原理图2.5.2DS18B20的连接利用开发板与DS18B20组成温度测量模块,原理图如图2.10所示。在设计温度传感器部分时,由于DS18B20进行精确转换时需要I/O引脚保持大电流供电,这样对FPGA芯片引脚造成很大压力,所以要使DS18B20的引脚接外部电源。该电路使用外部供电方式,外部电源供电方式工作可靠,稳定,抗干扰能力强,设计起来也是十分方便。图2

40、.11 DS18B20原理图2.5.3数码管显示电路开发板上有8位共阳七段数码管。当位码驱动信号为低电平0时,对应的数码管才能操作;当段码驱动信号为低电平0时,对应的段码点亮。如图2.11图2.12 数码管显示电路2.5.4电源电路电源通过接口,通过功能板由低压差线性稳压器LMS1117-3.3和LM1117-ADJ转换成稳定的1.2V,为FPGA提供内部逻辑阵列电源、3.3V电压为FPGA提供IO电源和其它的芯片及电路的工作电源,并在核心板上加入滤波电容来增加系统稳定性。如图2.12所示图2.13 FPGA电源电路2.5.5时钟电路该开发板采用50MHz有源贴片晶体为系统提供运行时钟。EP2

41、C8Q208C8开发板为客户提供8个CLK 时钟使用,这些时钟都可以用作普通的输入引脚。电路原理如图2.13所示。 图2.14 时钟电路 图2.15 复位电路2.5.6复位电路用户可以通过编程实现复位功能,复位按键放置在功能板上左下角图,硬件原理如图2.14所示。2.6 软件设计流程图 DS18B20采用一根I/O总线读写数据,有严格的读写时序要求,需要多次进行读写,设计采用状态机控制时序,FPGA控制DS18B20实现温度转换的程序流程如图2.15所示。 开始时钟分频计数器计数发送复位脉冲应答发送Skip ROM发送Convert T等待温度转换发送复位脉冲应答发送Skip ROM发送Rea

42、d Scratchpad16位数据数码显示图2.16 软件设计流程图14西安工业大学毕业设计(论文)3 功能模块建立及仿真3.1 Quartus及VHDL语言3.1.1Quartus介绍Quartus是Altera公司提供的综合性PLD/FPGA开发软件,属于平台化设计工具。用户可以在Quartus中实现整个数字集成电路的FPGA设计流程。它在21世纪初推出,是Altera前一代FPGA/CPLD集成开发环境Max+plus的更新换代产品。支持原理图、VHDL、VerilogHDL以及AHDL(Altera Hardware Description Language)等多种设计输入形式,内嵌自

43、有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程。Quartus可以在XP、Linux以及Unix上使用,除了可以使用Tcl脚本完成设计流程外,提供了完善的用户图形界面设计方式。具有运行速度快,界面统一,功能集中,易学易用等特点。Quartus支持Altera的IP核,包含了LPM/MegaFunction宏功能模块库,使用户可以充分利用成熟的模块,简化了设计的复杂性、加快了设计速度。对第三方EDA工具的良好支持也使用户可以在设计流程的各个阶段使用熟悉的第三方EDA工具。此外,Quartus 通过和DSP Builder工具与Matlab/Simulink相结合,可以方便

44、地实现各种DSP应用系统;支持Altera的片上可编程系统(SOPC)开发,集系统级设计、嵌入式软件开发、可编程逻辑设计于一体,是一种综合性的开发平台。Max plus作为Altera的上一代PLD设计软件,由于其出色的易用性而得到了广泛的应用。目前Altera已经停止了对Max plus的更新支持,Quartus与之相比不仅仅是支持器件类型的丰富和图形界面的改变。Altera在Quartus中包含了许多诸如SignalTap、Chip Editor和RTL Viewer的设计辅助工具,集成了SOPC和HardCopy设计流程,并且继承了Max plus友好的图形界面及简便的使用方法。Alte

45、ra Quartus作为一种可编程逻辑的设计环境, 由于其强大的设计能力和直观易用的接口,越来越受到数字系统设计者的欢迎。其功能十分强大,Quartus提供了完全集成且与电路结构无关的开发包环境,具有数字逻辑设计的全部特性,包括:可利用原理图、结构框图、VerilogHDL、AHDL和VHDL完成电路描述,并将其保存为设计实体文件;芯片(电路)平面布局连线编辑;LogicLock增量设计方法,用户可建立并优化系统,然后添加对原始系统的性能影响较小或无影响的后续模块;功能强大的逻辑综合工具;完备的电路功能仿真与时序逻辑仿真工具;定时/时序分析与关键路径延时分析;可使用SignalTap逻辑分析工

46、具进行嵌入式的逻辑分析;支持软件源文件的添加和创建,并将它们链接起来生成编程文件;使用组合编译方式可一次完成整体设计流程;自动定位编译错误;高效的期间编程与验证工具;可读入标准的EDIF网表文件、VHDL网表文件和Verilog网表文件;能生成第三方EDA软件使用的VHDL网表文件和Verilog网表文件。3.1.2 Verilog HDL介绍Verilog HDL(HDL:Hardware Discription Language)是一种硬件描述语言,是一种以文本形式来描述数字系统硬件的结构和行为的语言,用它可以表示逻辑电路图、逻辑表达式,还可以表示数字逻辑系统所完成的逻辑功能。Verilog HDL和VHDL是目前世界上最流行的两种硬件描述语言,都是在20世纪80年代中期开发出来的。前者由Gateway Design Automation公司(该公司于1989年被Cadence公司收购)开发。两种HDL均为IEEE标

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 其他


经营许可证编号:宁ICP备18001539号-1