基于at89c51单片机电烤箱的温度控制系统设计本科毕业设计论文.doc

上传人:小小飞 文档编号:3919940 上传时间:2019-10-10 格式:DOC 页数:41 大小:1.96MB
返回 下载 相关 举报
基于at89c51单片机电烤箱的温度控制系统设计本科毕业设计论文.doc_第1页
第1页 / 共41页
基于at89c51单片机电烤箱的温度控制系统设计本科毕业设计论文.doc_第2页
第2页 / 共41页
基于at89c51单片机电烤箱的温度控制系统设计本科毕业设计论文.doc_第3页
第3页 / 共41页
基于at89c51单片机电烤箱的温度控制系统设计本科毕业设计论文.doc_第4页
第4页 / 共41页
基于at89c51单片机电烤箱的温度控制系统设计本科毕业设计论文.doc_第5页
第5页 / 共41页
点击查看更多>>
资源描述

《基于at89c51单片机电烤箱的温度控制系统设计本科毕业设计论文.doc》由会员分享,可在线阅读,更多相关《基于at89c51单片机电烤箱的温度控制系统设计本科毕业设计论文.doc(41页珍藏版)》请在三一文库上搜索。

1、陕西国防学院机电工程学院毕业论文 摘摘 要要 随着社会的不断发展,人们改造自然的能力也在不断的提高。机器的诞生,为我们 减少了部分或者全部的脑力劳动和体力劳动。电子技术的诞生更是带来了翻天覆地的变 化。机电控制系统成为机械技术与微电子技术集成的共性关键技术。人们通过它可以使 机械完全按照自己的意愿来执行。 随着机电控制技术的发展,主要体现出了单片机和 PLC 两种控制方式。本设计采用 单片机控制。单片机在日常生活中的运用越来越广泛。温度控制在工业生产中经常遇到。 从石油化工到电力生产,从冶金到建材,从食品到机械都要对温度进行控制.甚至在有些 产品生产过程中温度的控制直接影响到产品的质量。单片机

2、温度控制无论是现在还是未 来都会起到重要作用。 本文介绍了以 AT89C51 单片机为核心的电烤箱温度控制系统。电烤箱的温度控制系 统有两个部分组成:硬件部分和软件部分。其中硬件部分包括:单片机电路、传感器电 路、放大器电路、转换器电路、以及键盘和显示电路。软件部分包括:主程序、运算控 制程序、以及各功能实现模块的程序。文章最后对本设计进行了总结。对温度控制系统 的发展提出了几点建议。 关键词 : 单片机,温度,电烤箱,控制 陕西国防学院机电工程学院毕业论文 毕业设计(论文)原创性声明和使用授权说明毕业设计(论文)原创性声明和使用授权说明 原创性声明原创性声明 本人郑重承诺:所呈交的毕业设计(

3、论文),是我个人在指导教师的指导 下进行的研究工作及取得的成果。尽我所知,除文中特别加以标注和致谢的 地方外,不包含其他人或组织已经发表或公布过的研究成果,也不包含我为 获得 及其它教育机构的学位或学历而使用过的材料。对本研究提 供过帮助和做出过贡献的个人或集体,均已在文中作了明确的说明并表示了 谢意。 作 者 签 名: 日 期: 指导教师签名: 日 期: 使用授权说明使用授权说明 本人完全了解 大学关于收集、保存、使用毕业设计(论文)的规定, 即:按照学校要求提交毕业设计(论文)的印刷本和电子版本;学校有权保存毕 业设计(论文)的印刷本和电子版,并提供目录检索与阅览服务;学校可以采 用影印、

4、缩印、数字化或其它复制手段保存论文;在不以赢利为目的前提下, 学校可以公布论文的部分或全部内容。 作者签名: 日 期: 陕西国防学院机电工程学院毕业论文 学位学位论论文原文原创创性声明性声明 本人郑重声明:所呈交的论文是本人在导师的指导下独立进行研究所取 得的研究成果。除了文中特别加以标注引用的内容外,本论文不包含任何其 他个人或集体已经发表或撰写的成果作品。对本文的研究做出重要贡献的个 人和集体,均已在文中以明确方式标明。本人完全意识到本声明的法律后果 由本人承担。 作者签名: 日期: 年 月 日 学位学位论论文版文版权权使用授使用授权书权书 本学位论文作者完全了解学校有关保留、使用学位论文

5、的规定,同意学 校保留并向国家有关部门或机构送交论文的复印件和电子版,允许论文被查 阅和借阅。本人授权 大学可以将本学位论文的全部或部分内 容编入有关数据库进行检索,可以采用影印、缩印或扫描等复制手段保存和 汇编本学位论文。 涉密论文按学校规定处理。 作者签名:日期: 年 月 日 导师签名: 日期: 年 月 日 陕西国防学院机电工程学院毕业论文 目录目录 前前 言言3 第第 1 1 章章 概概 述述4 1.1 技术指标4 1.2 控制方案4 第第 2 2 章章 硬件部分设计硬件部分设计5 2.1 单片机电路设计5 2.2 传感器电路设计8 2.3 A/D 转换电路设计.11 2.4 放大器电路

6、设计14 2.5 键盘及显示电路的设计18 2.6 抗干扰电路设计21 第第 3 3 章章 软件部分设计软件部分设计24 3.1 工作流程24 3.2 功能模块24 3.3 资源分配24 3.4 功能软件设计24 结结 论论36 谢谢 辞辞37 参考文献参考文献38 附附 录录39 陕西国防学院机电工程学院毕业论文 前 言 随着社会的不断发展,人们对机械的应用也越来越广,进而人们对机械运动的控制 要求亦越来越高。机电控制实现了以电气来控制机械。单片机的出现使机电控制技术突 飞猛进。单片机在工业控制、仪器仪表、商用商品、家用电器以及计算机接口等领域应 用广泛。 单片机出现的历史并不长,但发展迅猛

7、。自 1975 年美国德克斯仪器公司首次推出 8 位单片机 TMS-1000 后才开始快速发展。1976 年 9 月,美国 Intel 公司首次推出 MCS-48 系列 8 位单片机以后,单片机发展进入了一个新的阶段。1983 年 Intel 公司推出的 MCS- 96 系列、1987 年 Intel 公司又推出的 80C96 等位 16 位单片机。近年来各个计算机生产 厂家已进入更高性能的 32 位单片机研制、生产阶段。单片机发展之快、品种之多。其中 最常用的主要有:AT89 系列单片机、AVR 单片机 Motorola 公司的 M68HC08 系列单片机以 及 PIC 单片机。随着社会的发

8、展,单片机的特点体现在体积小、可靠性高、使用方便等 方面。 根据温度控制的特点,本次设计采用 AT89C51 单片机为控制核心,采用数字 PID 控 制算法。实现对电烤箱的温度控制。通过本次设计进一步详细说明单片机控制系统在社 会生活中的应用。为以后进一步应用单片机系统提供帮助。 陕西国防学院机电工程学院毕业论文 第第 1 1 章章 概概 述述 温度控制是工业生产过程中经常遇到的过程控制,有些工艺过程对其温度的控制效 果直接影响着产品的质量,因而设计一种较为理想的温度控制系统是非常有价值的。根 据温度变化快慢,并且控制精度不易掌握等特点,本文电烤箱的温度控制为模型,设计 了以 AT89C51

9、单片机为检测控制中心的温度控制系统。温度控制采用 PID 数字控制算法, 显示采用 3 位 LED 静态显示。该设计结构简单,控制算法新颖,控制精度高,有较强的 通用性。 1.1 技术指标 电烤箱的具体指标如下: (1) 电烤箱由 2 kW 电炉加热,最高温度为 500。 (2) 电烤箱温度可预置,烤干过程恒温控制,温度控制误差2。 (3) 预置时显示设定温度,烤干时显示实时温度,显示精确到 1。 (4) 温度超出预置温度5时发声报警。 (5) 对升降温过程的线性没有要求。 1.2 控制方案 产品的工艺不同,控制温度的精度也不同,因而所采用的控制算法也不同。就温度 控制系统的动态特性来讲,基本

10、上都是具有纯滞后的一阶环节,当系统精度及温控的线 性性能要求较高时,多采用 PID 算法来实现温度控制。 本系统是一个典型的闭环控制系统。从技术指标可以看出,系统对控制精度的要求 不高,对升降温过程的线性也没有要求,因此,系统采用最简单的通断控制方式,即当 烘干箱温度达到设定值时断开加热电炉,当温度降到低于某值时接通电炉开始加热,从 而保持恒温控制。 陕西国防学院机电工程学院毕业论文 第第 2 2 章章 硬件部分设计硬件部分设计 系统的硬件部分包括单片机电路、A/D 转换器电路、放大器电路、传感器电路、键 盘及显示电路五部分。其各部分连接关系如图 2-1 所示。 图 2-1 电烤箱温度控制系统

11、结构 2.1 单片机电路设计 随着社会的发展,单片机以其体积小、可靠性高、使用方便等特点在社会生活中达 到广泛应用。根据温度控制的特点,本次设计采用 AT89C51 单片机。以下对其进行详细 介绍。 AT89C51 单片机是美国 Intel 公司的 8 位高档单片机系列。也是目前应用最为广泛 的一种单片机系列。其内部结构简化框图如下所示。AT89C51 系列单片机主要有 CPU、存 储器(包括 RAM 和 ROM) 、IO 接口电路及时钟电路等部分组成。 2.1.12.1.1 中央处理器 CPU 中央处理器 CPU 是单片机的核心。是计算机的控制指挥中心。同一般微机的 CPU 类 似。AT89

12、C51 单片机内部 CPU 包括控制器和运算器两部分。 2.1.22.1.2 AT89C51 单片机引脚功能 AT89C51 系列单片机的封装形式有两种:一种是双列直插方式封装;另一种是方形 封装。AT89C51 单片机 40 个引脚及总线结构图如下所示。其 CMOS 工艺制造的低地功耗 芯片也有采用方形封装的。但为 44 个引脚,其中 4 个引脚是不使用的。由于 89C51 单片 机是高性能的单片机。同时受到引脚数目的限制,所以有部分引脚具有第二功能。如 A/D 陕西国防学院机电工程学院毕业论文 图 2-3 单片机引脚图。 1.主电源引脚 主电源引脚两根:VCC 接+5V 电源正端;VSS

13、接+5V 电源地端。 2.外接晶体引脚两根 XTAL1:接外部石英体和微调电源的一端。 XTAL2:接外部晶体和微调电容的另一端。 其中,对用外部时钟时,对于 HMOS 单片机,XTAL1 脚接地,XTAL2 脚作为外部振荡信号的 输入端。对 CHMOS 单片机 XTAL1 脚作为外部振荡信号的输入端,XTAL2 脚空不接。 3. 引脚功能 IO 引脚共 32 根。 PO 口:P0.0-P0.7 统称为 PO 口是 8 位双向 I/O 口线。P0 口即可作为地址/数据总 线使用,又可作为通用的 I/O 口线。在不接片外存储器与不扩展 I/O 口时,可作为准双 向输入/输出口。在接有片外存储器或

14、扩展 I/O 时,P0 口分时复用为低 8 位地址总线和 双向数据总线。 P1 口:P1.0-P1.7 统称为 P1 口。是 8 位准双向 I/O 口线。P1 口作为通用的 I/O 口使用。 P2 口:P2.0-P2.7 统称为 P2 口。是 8 位准双向 I/O 口线。P2 口即可作为通用的 I/O 口使用。也可作为片外存储器的高 8 位地址线。与 P0 口组成 16 位片外存储器单元 地址。 陕西国防学院机电工程学院毕业论文 P3 口:P3.0-P3.7 统称为 P3 口。是 8 位准双向 I/O 口线。P3 口除作为准双向口 使用外。每个引脚还具有第二功能。P3 口的每一个引脚均可独立定

15、义为第一功能的输入 输出或第二功能,P3 口的第二功能如下表所示: P3 口的第二功能 P3.0 RXD 串行口输入 P3.1 TXD 串行口输出 P3.2 外部中断 0 输入0IM P3.3 外部中断 1 输入1IM P3.4 T0 定时/计数器 0 计数输入 P3.5 T1 定时/计数器 1 输入 P3.6 片外 RAM 写选通信号(输出)WR P3.7 片外 RAM 读选通信号(输出)RD 4控制线 控制线共四根。 ALE/PROG 地址锁存有效信号输出率。 PSEN 片外程序存储器读选通信号输出端低电平有效。 RST/VPD 复位信号备用电源输入信号。 EA/VPP 片外程序存储器选用

16、端。 2.1.32.1.3 AT89C51 单片机的存储器结构 AT89C51 单片机的存储器物理结构上分为片内数据存储器、片内程序存储器、片外 数据存储器和片外程序存储器 4 个存储空间。 2.1.42.1.4 AT89C51 单片机的并行 I/O 端口 AT89C51 单片机有 4 个 8 位并行 I/O 端口(P0、P1、P2、P3)每个端口都各有 8 条 I/O 口线,每条 I/O 口线都独立地用作输入输出,在具有片外扩展存储器的系统中,P2 口送出高 8 位地址,P0 口分时送出低 8 位地址和 8 位数据。 各端口的功能不同,结构上也有差异,但是每个端口的 8 位结构是完全相同的。

17、如 图 2-4I/O 口位结构图所示。 1.P0 口。 P0 口是一个三态双向口,可作为地址/数据分时复用口,也可作为通用 I/O 接口。 2.P1 口。P1 口为准双向口,它在结构上与 P0 口的区别在与输出驱动部分。其输出 驱动部分由场效应管 V1 与内部上拉电阻组成,当某某位输出高电平时,可以提供上拉 陕西国防学院机电工程学院毕业论文 电流负载,不必像 P0 口上那样需要外接上拉电阻。 3.P2 口。P2 口也为准双向口。其具有通用 I/O 接口或高 8 位地址总线输出两种功能, 所以其输出驱动结构比 P1 口输出驱动结构多了一个输出模拟转换开关 MUX 和反相器 3. 4.P3 口。P

18、3 口的输出驱动由与非门 3 和 V1 组成,比 P0、P1、P2 口结构多了一个缓 冲器 4.P3 口除了可为通用准双向 I/O 接口外,每一根线还具有第二功能。 2.1.52.1.5 AT89C51 单片机时钟电路及时序 1.时钟电路 AT89C51 单片机的时钟信号通常有两种方式产生:一种是内部方式,一种是外部方 式。 2.时序 AT89C51 单片机指令字节数和机器周期数可分为六类。即单字节单机器周期指令、 单字节双机器周期指令、单字节四机器周期指令,双字节单机器指令、双字节双机器周 期指令和三字节双机器周期指令。 2.1.62.1.6 复位电路 复位是通过某种方式,使单片机内各寄存器

19、的值变为初值状态的操作,AT89C51 单片 机在时钟电路工作以后,在 RST/VPD 端持续给出两个机器周期的高电平就可以完成复位操 作。复位分为上电复位和按键手动复位两种方式。 2.1.72.1.7 AT89C51 单片机的指令系统 控制计算机与操作的指令是一组二进制编码,称之为机器语言。计算机只能识别和 执行机器语言指令。AT89C51 单片机指令与指令系统共有 111 条指令,从功能上可分成 数据传输类指令、算术运算指令、逻辑运算和移位指令、程序控制转移类指令和位操作 指令五大类。 2.2 传感器电路设计 随着新技术革命的到来,世界开始进入信息时代,在利用信息的过程中,首先要解 决的就

20、是获取准确可靠地信息。传感器是获取自然、生产、科研领域中信息的主要途径 与手段。 2.2.12.2.1 传感器概述 根据国家标准,传感器的定义是:能感受规定的被测量并按照一定得规律转换成可 用输出信号的器件或装置。 传感器一般由敏感元件,转换元件和转换电路三部分组成。其组成框图如 2-7 所示。 陕西国防学院机电工程学院毕业论文 图 2-7 传感器组成框图 敏感元件,它是直接感受被测量并输出与被测量成确定关系的某一种量的元件。 转换元件,敏感元件的输出就是它的输入。它把输入转换成电路参量。转换电路, 上述电路参数接入转换电路,便可转换成电量输出。 传感器按其工作原理可分为物理传感器、化学传感器

21、和生物传感器三大类。 物理传感器是利用某些变换元件的物理性质,以及某些动作功能材料的特殊物理性 能制成的传感器。 化学传感器是利用电化反应原理,把无机和有机化学物质的成分。浓度等转换为电 信号的传感器。 生物传感器是一种利用生物活性物质的选择性来识别和测定生物化学物质的传感器。 科学技术的发展和社会进步的需要,推动着传感器技术的迅速发展。目前传感器技 术的发展方向主要有开发新型传感器,开发新材料,采用新工艺,集成化多功能化与智 能化等几个方面。 2.2.22.2.2 传感器的基本特性 根据被测量的变化状态,可以把传感器的输入量分为静态量和动态量两类。静态量 指传感器的输入量位程序状态信号或变化

22、及其缓慢的准静态信号;动态量指传感器的输 入量为周期信号、瞬变信号或随机信号等时间变化的信号。其中,传感器的静态特性是 指传感器在被测量处于稳定状态下的输出输入关系。传感器的静态特性是在静态标准工 作条件测定的。衡量传感器静态静态特性的主要技术指标有量程、线性度、迟滞、重复 性,灵敏度、漂移等。传感器的动态特性是指传感器对随时间变化的输入量的响应特性。 1. 传感器的技术性能指标及改善性能途径 (一)传感器的技术性能指标 传感器的动态性能指标 A量程指标:包括测量范围、过载能力等。 B灵敏度指标:包括灵敏度、分辨力、满量程输出、输出输入阻抗等。 C精度有关指标:包括精度(误差) 、重复性、线性

23、、滞后、灵敏度误差、阀值 陕西国防学院机电工程学院毕业论文 稳定性、 、漂移等。 D动态性能指标:包括固有频率阻尼系数、时间常数、频响范围、频率特性、 临界频率、临界速度、稳定时间等。 环境参数指标 A 温度指标包括工作温度范围、温度误差、温度漂移、温度系数、热滞后等。 B.抗冲击振动指标:包括各向冲击振动的频率、振幅、加速度、冲击振动引入的误 差等。 C.其他环境参数:包括抗潮湿、抗介质腐蚀能力、抗电磁场干扰能力等 可靠性指标: 包括工作寿命,平均故障时间,保险期、疲劳性能、绝缘电阻耐压弧性能等 其他指标 A 使用方面:包括供电方式(直流、交流、频率及波形等) 、电压幅度与稳定性功 能、各项

24、分布参数等 B 结构方面:名手外形尺寸质量、壳体材质、结构特点等 C.要装连接方面:包括安装方式、馈成、电缆等 (二)改善传感器性能的技术途经 A 差动技术 B 平均技术 C 零示法和微差法 D 闭环技术 E 屏蔽隔离子干扰抑制 F 补 偿修正技术 G 稳定性处理。 根据本设计要求选用热电式传感器 将被测量变化转换成热生电动势的传感器称热电式传感器、热电式传感器可将温度及温 度相关的信号转化为电量输出、热电式传感器有热电阻、热敏电阻、热电效方式等各种 类型. 根据电烤箱的特点采用热电阻传感器. 热电阻利用金属导体的电阻值随温度升高而增大的特性来来进行了温度测量的,常 用测量范围为-20。C+1

25、50。C。随着其技术的发展,其测温范围也不断扩大,低温已可测 量 1K3K,高温则可测量 1000。C 1300。C 热电阻力传感器的主要优点有: A 测量精度高,热电阻材料的电阻温度特性稳定,重复性好, 不存在热电偶参比 端误差问题; B 测量范围较宽,尤其在低温方面 C 易于在自动测量或远距离测量中使用 常用的热电阴材料有铂、铜、镍、铁等 陕西国防学院机电工程学院毕业论文 2.2.32.2.3 热电阻的测量电路及应用 热电阻常用接入电桥使用引出线有两、三线式和四线式几种形式。采用两浅式接法 时(如图 2-8 所示 Rt 的接法)引出的导线接于电桥的一个臂上,当由于环境温度或通以 电流引起导

26、成温度变化时,将产生附加电阻、引起测量误差,所以,当热电阻值较小时, 常采用三线式、四线式接法,以消除接线电阻和引线电阻的影响 三线式接法是将两条具有相同温度特性的导成接于相邻两桥臂上,此时由于附加电 阻引起的电阻变化是相同的,根据电桥的特性,电桥的输出将互相抵消。 (a)二线式接法 (b)三线式接法 (d)四线式接法 图 2-8 热电阻传感器的接线方式 四线式接法 R2=R3 为固定电阻,R1 可调,热电阻 Rt,通过电阻为 r1、r2、r3、r4 的四根 导线和电桥连接,r1、r4 分别串联在相邻的两桥臂内,r2、r3 与电源去路串联,将开关 接通,调节 R1 使电桥平衡,则 R1+r1=

27、Rt+r4 再将开关接通 B,重新调整 R1,使电桥达到新的平衡,则 R1+r1=Rt+r1 两式相加得:Rt= 2 11RR 四线式测量方法比较麻烦,一般用于精度要求较高的场合. 2.3 A/D 转换电路设计 2.3.1 逐次逼近型 A/D 转换器 ADC0809 1.ADC0809 的内部逻辑结构(如图 2-9) 如图,多路开关可达通讯员 89 模拟通道,允许 8 路模拟量分时输入,共用一个 A/D 转换器进行转换。地址锁存与译码电路完成对 A、B、C 三个地址供进行锁存和译码,其 译码输出用于通道选择。 8 位 A/D 转换器是逐次逼近式,由控制时序电路,逐次逼近寄存器,树状开关以及 其

28、 256R 电阻下型网络等组成 陕西国防学院机电工程学院毕业论文 输出锁存器用于存放和输出转换得到的数字量 2.ADC0809 的引脚及各引脚功能 图 2-9 ADC0809 内部逻辑结构图 ADC0809 的引脚入各引脚双引直插式封装,其引脚排列见图 2-10 所示 各引脚功能如下: 、INT2NO:8 咱模拟量输入引脚,ADC0809 对输入模拟量的要求主要有二信号单 极性,电压范围 05V;若信号过小还需要进行放大。另外,在 A/D 转换过种中,模拟量 输入的值不应变化太快,因此,对变化速度快的模拟量在输入前应增加采样保持电路。 、A、B、C:地址线,A 为低位地址,C 为高位地址用于对

29、模拟通道进行选择 、ALE:地址锁存允许信号,在对应 ALE 跳转,A、B、C 地址状态送入地址锁存器 中。 图 2-10 ADC0809 引 脚功能图 陕西国防学院机电工程学院毕业论文 、START:转换启动信号。START 上跳转时,所有内部寄存器清 0;START 下跳转 时,开始进行 A/D 转换;在 A/D 转换;在 A/D 转换期间,START 应保持低电平 、DTD0:数据输出线,其为三态缓冲输出形式,可以和单片机的数据线直接相连 、DE:输出允许信号,ADC0809 的内部设有时钟电路,所需时钟,信号由外界提 供,因此有时钟信号引脚。通常使用频率为 500KHZ 的时钟信号。

30、、CLK:时钟信号,ADC0809 的内部设有时钟电路,所需时钟信号由外界提供,因 此有时钟信号,通常使用频率为 500KHZ 的时钟信号 、EOC:转换给结束状态信号,EOC=0,正在进行转换;EOC=1,转换结束。该状态信 号即可作为查询的状态标志,又可以作为中断请求信号时使用。 、Vcc:+5 电源 、Vref:参考电压正端参考电压用来与输入的模拟信号进行比较,作为逐次逼近 的基准,其曲型值为+5V(Vref(+)=+5V,Vref(-)=0) 2.3.2 AT89C51 单片机与 ADC0809 接口 ADC0809 与 AT89C51 单片机边接如图 2-11 所示,电路连接主要涉及

31、两个问题,一是 不是路模拟信号通道选择,二是 A/D 转换完成后数据的传送 1. 8 路模拟通道选择 A、B、C 分别接地址锁存器提供的低三位地址。只要把三位地址写入 0809 中的地址 锁存器就实现了模拟通道选择。对系统来说,地址锁存器是一个输出口,为了把三位地 址写入,还要提供口地址。 2. 数据的传输方式 A. 定时传输方式 B. 查询方式 C. 中断方式 陕西国防学院机电工程学院毕业论文 图 2-11 ADC0809 与单片机的连接 2.4 放大器电路设计 传感器是将待测的物理量或化学量转换成电信号输出。但其输出的信号通常都很小, 需要进行放大。传感器的信号放大,根据具体情况可采用分立

32、元件放大器(晶体管放大 器)和集成元件放大器(运算放大器)两种。 2.4.12.4.1 交流放大器电路 1.共发射极放大电路 A 工作点不稳定状态 静态工作点 Ib,Ic=Ib, Rb Ec Uce=Ec-IcRc 交流等效电路 Rfz=Rc/Rfz (a)电路图 (b)图解法 (c)交流等效电路 图 2-12 工作点不稳定状态放大电路 输入电阻 rsrrbe(当 rbeRb 时) 输出电阻 rscRce rsc Rc 放大倍数 K= rbc fz R 此放大器特点:放大倍数大。 B 工作点稳定状态 a。静态工作点 由(-Ube1) 21 12 RR RUe 1Re 1 1 )2( Rc Ub

33、eUeEe 交流等效电路 Rfz1=Rc1/rbe,Rfz2=Rc2/Rfz 输入电阻 rsrrbe2(当 rbe1R1/R2 时) 输出电阻 rscRc 放大倍数 K=12 (当 RC1rb2 时) Usr Usc 1 2 rbe fz R 此放大电路特点 放大倍数大,工作点稳定 陕西国防学院机电工程学院毕业论文 b静态工作点 Ub,Ua=Ub-Ube, 21 1 RbRb EcRb Ie=,UceEc-Ic(Re+Rc) Re Ue 交流等效电路 Rfz=Rc/Rfz 输入电阻 rsr=rbe(当 rbeRb1/Rb2) 输出电阻 rscRc 放大倍数 K= rbe fz R (a)电路图

34、 (b)图解法 (c)交流等效电路 图 2-13 工作点稳定状态 a 类放大器电路 此放大电路特点 rsr 较大,|K|1 且与晶体管参数几乎无关。 (a) 电路 图 (b) 图解 法 (c)交流等效电路 图 2-14 工作点稳定状态 b 类放大器电路 C 静态工作点 Ub 、Uc 同左,但 Ie=,UceEc-Ic(Rc+Re+RF) RF Uc Re 交流等效电路 Rfz=Rc/Rfz 输入电阻 )/(/ RF21rRRrbebbsr 输出电阻 (当时) rR r beb sc rRbeb Re 放大倍数 (当) RF Rfz K . rbe RF 此放大电路特点 大,小, rsrrsc

35、1K 陕西国防学院机电工程学院毕业论文 (a)电路图 (b)图解法 (c)交流等效电路 图 2-15 工作点稳定状态 c 类放大器电路 共集电极放大电路 静态工作点 , RR E Cb c b IIIbC RIEUcccce 交流等效电路 RRRfzCfz / 输入电阻 RRrfzbsr / 放大倍数 Rr R fzbe fz K . ) 1( ) 1( (a)电路图 (b)图解法 (c)交流等效电路 图 2-16 共集电极放大器电路 反馈 凡是引入反馈以后使放大镜器放大倍数减小的称为负反馈。反之凡是引反馈以后使放 大倍数增大 的称为正反馈赠。其中换反馈有电压串联负反馈赠,电流串联负反馈赠,电

36、 压并联负反馈赠,电流并联负反馈。 2.4.22.4.2 直流放大器电路 将缓慢的直流量信号进行广大的器件称直流放大器。它与前述交流放大器的区别是 交流放大器级与级之间加了三个隔离直电流电容(即耦合电容)而直流放大器级与级之 间没有这个电路,故直流放大器又称直接耦合放大器 2.4.32.4.3 运算放大器电路 1.概述 在直流差动放大器的输入端子输出端之间跨接各种网络(如电阻 R1、电容 C 等) , 使构成用来实现信号组合和运算的运算放大器,运算放大器通常是由放大电路组成,输 入级(第一级)由晶体管 T1 和 T2 组成差动放大镜电路 T3 和 T4 是 T1 和 T2 的有源负载。 T9

37、是恒流源,第二级放大电路由晶体管 T5 和 T6 组成,T10 是恒流源(T6 的有源负载) , 为了获得输出阻抗,输出级(第三级)由晶体管 T7 和 T8 组成,采用互补对称放大电路。 陕西国防学院机电工程学院毕业论文 运算放大器是一种具有高放大倍数,深度负反馈的直流放大器。便于实现信号的组合和 运算。有很大的灵活性,因此它们不仅仅电子模拟计算机的关键部件,而且在自动控制 系统测量装置中得到广泛应用。尤其在线性固体组件出现后,有具有体积小,质量轻等 优点,所以在实际中应用固体组件运算放大器所组成的电路是多种多样。 理想运算放大器的特性: 开环增益 Ad 无限大;输入阻抗无限大;输出阻抗 Z

38、为 0; 图 2-17 运算放大器电路图 输入电压的失调电压 rf 为;带宽无限大;上述的特性不随环境温度的 变化而变化; 2.运算放大器的典型电路 A 反馈型号放大电路 B 加法放大电路 C 减法放大电路 D 积分电路 E 对数放大电路 F 乘法器电路 G 除法器电路 H 比较器电路 I 整流器电路 J 限频器电路 K 数据放大器电 路 L 弱电流放大器 M 电荷放大器电路 2.4.42.4.4 集成运算放大器概述 在信号的放大,信号的运算(加、法、乘、除、对数、反对数、平方、开方) ,信 号的处理(滤波、调制)以及波形的产生和变换的单元中,运算放大器是它们的核心部 分, 由多级直接耦合放大

39、电路组成的,主要有,总体,偏置电路、单位增益转换、电平 转移、恒流反馈、消振补偿等组成,主要参数有:差模开环增益(或差模开环放大倍数) AUD、共模开环增益 AUC、共模抑制比 KCMR、输入失调电压 Vi0 失调电压温度系数 aUi0=dUi0/dT输入失调电流 Ii0=I1-I,失调电流温度系数 aI10=dI10/dT,单位增益宽 陕西国防学院机电工程学院毕业论文 带 fBWG、转换速率 Sr 以及其他参数。 本次设计根据实际情况采用多级交流放大电路。接线图见附图。 2.5 键盘及显示电路的设计 2.5.12.5.1 键盘接口电路 1. 键盘的工作原理 A.按键的确认 在单片机应用系统中

40、,按键都是以开关状态来设置控制功能或能入数据的,键的半 合与否,反映在电压上就是呈高电平或低电平,如果高电平表示断开的话,那么低电平 就是表示闭合,所以通过电平的高代状态的检测,使可以克认按键接下与否。 B.按键的抖动处理 当按键被迫按下或释放时,通常伴随有一定的时间的触点机械抖动,然后其独占才 稳定下来,抖动时间一般为 510ms,在使用过程,必须去抖措施。去抖有硬件和软件两 种方法,硬件方法通常采用通过 RS 触发器连接按键除抖,软件方法采用昝方法除抖,其 过程是在检测到有按键按下时,进行一个 10ms 左右的昝程序后,若该键仍保持闭合状态, 则确认该键处于讨债状态,同理,在检测到该键释放

41、后,也应珠步骤进行确认,从而可 消除抖动的影响。 2. 独立工按键 独立式按键是直接用 I/O 口线构成的单个按键电路,其特点是每个按键单独占用一 根 I/O 口线,每个按键的工作不会其他 I/O 口线的状态 3. 矩阵式按键 单片机系统中,若使用按键分明,通常采用矩阵式(也称行列式)键盘,如图 2-18 所示: 一个 4*4 的行列结构可以构成一个含有 16 个按键的键盘。矩阵式键盘中,行列式分 别连接到按键开关的两端,行式通过二伴电阻接到+5V 上,当无键按下时,行式于高电 平状态,当有键按下时,行列式将贯通,此时 陕西国防学院机电工程学院毕业论文 图 2-18 矩阵式键盘结构 行线电平,

42、将由与此行线相连的列线电平决定,这是识别按键是否按下的关键,然而, 矩阵键盘中的行线,列线和多个键相边,各按键按下与否均影响该键反在行线和死线的 电平,各按键间将相互影响,因此必须将行线,列线信号配合起来作适应处理,才能确 定闭合键的位置。其中,矩阵式键盘有以下几种工作方式: a.编程扫描方式 编程扫描是 CPU 完成其他工作的空余时间,调用键盘扫描子程序来响应键盘输入的 要求,在执行键功能程序时,CPU 不再响应键输入要求,直到 CPU 重新扫描键盘为止。 键盘扫描程序一般应饫以下内容:1 差别有无键按下降键盘扫描取得闭合键的行、 列值 3 用计算法或查表法得到键值 4 判断闭合键是否释放,

43、如释放则继续等待 5 将闭合 键键号保存,同时转去执行该执行该闭合键的功能。 b.定时扫描方式 定时扫描方式就是每隔一段时间对键盘扫描一次,它利用单片机内部的定时器产生 一定时间的定时,当定时时间到就产生定时溢出中断,CPU 响应中断后对键盘进行扫描, 并左有键按下时,识别出该键,再执行该键的功能程序定时扫描方式的硬件电路与编程 扫描方式相同 c.中断扫描方式 为提高 CPU 工作效率,可采用中断扫描工作方式其工作过和如下:当无键接下时, CPU 处理自己的工作,当有键接下时产生中断请求,CPU 转去执行键盘扫描子程序,并识 别键号。图 2-19 为矩阵式键盘与单片机接口图。 陕西国防学院机电

44、工程学院毕业论文 图 2-19 矩阵式键盘与单片机接口 2.5.2 LED 显示器接口电路 常用的 LED 显示器有 LED 状态显示器(俗称发光二极管)LED 七段显示器(俗称数 码管和 LED 十六段显示器,发光二极管可显示两种状态,用于系统显示;数码管用于数 字显示;LED 十六段显示器,用于字符显示) 1数码管结构 数码管由 8 个发光二极管(以下简称字段)构成,通过不同组合可用来显示数字 0- 9.字符 A-F 及小数点“.” 。数码管又分为共阴极和共阳极两种结构。 2. 数码管工作原理 共阳极数码管的 8 个发光二级管的阳极(二极管正端)连接在一起。通常会共阳极 接高电平 1.一般

45、接电源 1.当某个阴极接低电平时,则该数码管导通并点亮。共阴极数码 管的 8 个发光二极管的阴极(二极管负端)连接在一起。公共阴极接低电平(一般接地) 当某个阳极接高电平,则该数码管并点亮。 3. 静态显示接口 静态显示是指数码管显示某一字符时,相应的发光二极管恒定导通或恒定截止。这 种显示方式的各位数码管相互独立,公共端恒定接地(共阴极)获接正电源(共阳极) 每个数码管的 8 个字段分别与一个 8 位 I/O 地址相连,I/O 口只要有断码输出,相应字 符即显示出来并保持不变直动 I/O 口输出新的端码采用静态显示方式。较小的电流即可 获得较大的亮度。且占用 CPU 时间少编程简单,显示,便

46、于检测和控制,但其占用的口 线多,硬件电路复杂、成本高,只适合于显示位数较少的场合。 4. 动态显示接口 动态显示是一位一位地轮流点亮各位数码管。这种逐位点亮显示的方式称为位扫描。 通常各位数码管的段选线相应并联在一起由 8 位的 I/O 口控制。各位的位选线(公共阴 极或阳极)有另外的 I/O 口线控制。动态方式显示时,各数码管分时轮流选通,要使稳 定显示,必须采用扫描方式,即在某一时刻只选通一位数码管。并送出相应的端码,在 另一位数码管并送出相应的端码。依此规律循环,即可使各位数码管显示将要显示的字 符。虽然这些字符是在不同的时刻分别显示,但由于人眼存在视觉暂留效应,只要每位 显示间隔足够

47、短就可以给人以同时显示的感觉。 陕西国防学院机电工程学院毕业论文 图 2-20 数码管与单片机接口 2.6 抗干扰电路设计 随着强电弱电设备在通信计算机自动化等领域的广泛应用。处于同一工作环境的各 种电子电气电路因距离过近而相互影响(耦合)形成电磁干扰(EMI)电磁干扰已成为现 代电子电气工程设计和研究人员在设计过程中必须考虑的问题。一方面,这是由于当前 电子技术正朝着高速、高灵敏度、高集程度方面发展,增加了现代电子设备内部产生电 磁干扰的可能性;另一方面,使用随着自动化技术装备的广泛使用,形成了电子设备和 大功率强电设备在同一场合共存和使用的局面,恶化了电子电路工作的外部电磁环境。 因此,电

48、磁干扰已成为许多电子设备与系统在环境正常操作运行的主要障碍之一。 2.6.12.6.1 电磁干扰的形成因素 电池干扰由电磁干扰源发射经过耦合途径传输到被干扰设备(敏感设备)因此形成 电磁干扰的要素有:电磁干扰源、传输通到、敏感设备。 2.6.22.6.2.干扰的分类 按干扰源分为自然干扰和人为干扰。 按噪声波形及性质分为持续正弦波干扰和浪涌脉冲波形干扰以及脉冲干扰。 按干扰传输系统的方式分为共模干扰、差模干扰、传导耦合、感应耦合和辐射耦 合。 2.6.32.6.3.单片机应用系统电磁干扰控制的一般方法 陕西国防学院机电工程学院毕业论文 单片机应用系统的干扰源分为内部干扰源和外部干扰源。其中内部干扰源主要来自 于印制电路板的布局及布线。 单片

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 其他


经营许可证编号:宁ICP备18001539号-1