基于VHDL的自动售货机设计_毕业设计论文.doc

上传人:来看看 文档编号:3921967 上传时间:2019-10-10 格式:DOC 页数:24 大小:378KB
返回 下载 相关 举报
基于VHDL的自动售货机设计_毕业设计论文.doc_第1页
第1页 / 共24页
基于VHDL的自动售货机设计_毕业设计论文.doc_第2页
第2页 / 共24页
基于VHDL的自动售货机设计_毕业设计论文.doc_第3页
第3页 / 共24页
基于VHDL的自动售货机设计_毕业设计论文.doc_第4页
第4页 / 共24页
基于VHDL的自动售货机设计_毕业设计论文.doc_第5页
第5页 / 共24页
点击查看更多>>
资源描述

《基于VHDL的自动售货机设计_毕业设计论文.doc》由会员分享,可在线阅读,更多相关《基于VHDL的自动售货机设计_毕业设计论文.doc(24页珍藏版)》请在三一文库上搜索。

1、黄山学院本科毕业论文 本科生毕业论文(设计) 基于VHDL的自动售货机设计 23目 录中 文 摘 要 2英 文 摘 要 3引 言 4 1. 介绍及设计任务5 1.1EDA技术51.1.1EDA的介绍与发展51.1.2EDA的设计流程51.1.3VHDL介绍51.2自动售货机的应用与设计背景61.2.1自动售货机的应用介绍61.2.2自动售货机的设计要求62.系统分析与设计方案72.1系统分析72.2系统设计方案73.Max+Plus设计过程93.1Max+Plus的介绍93.2各模块的VHDL设计113.2.1货物信息的存储模块的设计123.2.2 进程控制模块的设计123.2.3硬币处理模块

2、的设计133.2.4 余额计算模块的设计143.2.5显示功能模块的设计15 3.3 顶层电路的设计流程163.4仿真功能与测试17 3.4.1 编译及仿真17结束语21参考文献22致谢23基于VHDL的自动售货机设计*指导老师:*(*信息工程学院,*)摘 要:在当今社会随着电子技术获得了飞速发展的情况下,现在电子产品几乎渗透了社会的各个领域,有力的推动了社会生产里的发展和社会信息化程度的提高,同时也使现代电子产品性能进一步提高,产品更新换代的节奏也越来越快。首先EDA技术在进入21世纪后,得到了很大的发展,其基本特征是采用高级语言描述,具有系统级仿真和综合能力。VHDL硬件描述语言是涉及源文

3、件可以采用类似与C语言的书写形式,并采用结构设计方法。通过VHDL为基础设计了一种自动售货机的设计。整个设计以两个计数器为核心,30秒计数器通过与按键联合控制整个购买过程;8秒计数控制饮料出口开关的自动开关,从而达到控制输出流量。全文提供了投币信号处理,购买过程处理,开关流量控制几个主要控制模块的设计思路及方案。并给出了各模块和总体系统设计的仿真。关键词:VHDL; 投币; 计数器; 控制The design of vending machine based on VHDL* Director:* (Information Engineering College, *)Abstract:Ele

4、ctronic technology has experienced rapid growth, now in its electronic product almost permeated every area of society has vigorously promoted the development of social production and the improvement of social information level, also make modern electronic product performance to further improve the r

5、hythm of becoming obsolete also more and more quick first EDA technology in the 21st century, got great development, its basic characteristics are described by a high-level language, with system-level simulation and comprehensive ability VHDL hardware description language is design source files can

6、use similar and C language writing form, and USES the structure design method. A new design of coin drinking seller based on VHDL is introduced. The most important part in this design are two counters,the 30 seconds counter combined with keys control the process of selection by cosumers.;the 8 secon

7、ds counter works as a controller to control the rate of output flow. This article provided the thoughts and schemes for these key parts such as:coin signal process,selection process and rate flow control.At last the paper present the emulation result for whole design.Key Words:VHDL; coin; counter; c

8、ontrol引言目前,随着移动商务的发展,自动售货机作为一种方便、直观的移动商务工具,开始在全球各地迅速普及和发展。在中国,自动售货机将成为一个潜在的巨大产业,继百货商店、超市之后掀起第三次零售业革命,前景非常广阔。售卖的商品可根据摆放场所的需要量身定制,包括冷热饮料、零食、电话卡及国外进口的特色商品等。并且,透过这种智能售货系统,存货、销售、物流信息可以准确、及时地反馈给客户和管理人员。此外,还支持多种交易支付模式,同时方便实现较大金额的支付和交易结算。在2004年,通过手机购物的无线自动售货机在国内开始出现,天津南开戈德公司已研制此种类型的自动售货机。这种新一代自动售货机除了更方便售卖货品

9、之外,还被视为一种传播广泛的广告媒介。在国外,自动售货机发展相对成熟。日本的自动售货业已经有2000多种机型、6000 多种商品。在美国,运营商就多达10800 家,并且具有与大饮料商、大食品商的合作经验 。在日本,由于其无所不在,24h 供应, 自动售货机很受特别忙碌的人欢迎。在自动售货机相关的所有研究领域中,全球对其关键技术的研究主要集中在以下3个方面:a. 系统内部销售动作实现方式的研究;b. 资金结算及销售信息统计管理的实现方式研究;c. 功耗节省模式的研究。根据目前,系统内部销售动作实现方式的研究已基本成熟,研究最多的主要集中在资金结算及销售信息统计管理的实现方式 和功耗节省模式上。

10、自动售货机制作时使用的EDA技术与传统的电子设计相比,传统的电子设计方法是一种自底向上且费时费力的设计方法,而现代电子设计技术(EDA)是自顶向下且先进高效的。在电子产品的设计理念、设计方式、系统硬件构成、设计的重用性、知识产权、设计周期等方面,EDA技术具有一定的优势。1 介绍及设计任务1.1 EDA技术1.1.1 EDA的介绍与发展EDA是Electronic Design Automation(电子设计自动化)的缩写,EDA技术是20实际90年代初以来迅速发展起来的现代电子工程领域的一门新技术。它以可编程逻辑器件(PLD)为载体,以计算机为工作平台,以EDA工具软件为开发环境,以硬件描述

11、语言(HDL)作为电子系统功能描述方式,以电子系统设计为应用方向的电子产品自动化设计过程1。在当今以数字化和网络化为特征的信息技术革命大潮中,电子技术获得了飞速发展,现代电子产品渗透到了社会的各个领域。现代电子产品的性能进一步提高,功能越来越复杂,集成化智能化程度越来越高,更新换代的节奏越来越快,开发风险也越来越大,而且正向着功能多样化,体积小型化,功耗最低化的趋势发展。所有这些,都给电子系统设计师们带来了前所未有的压力,面对这种压力,唯一的出路是熟练掌握EDA技术,并获得其的有力支持。EDA技术的出现,极大的提高了电路设计的效率和可靠性,减轻了设计者的劳动强度,EDA是电子产品开发研制的动力

12、源和加速器,是现代电子设计的核心。随着基于PLD的EDA技术的发展和应用领域的扩大和深入,它在电子信息,通信工程,自动控制及计算机应用领域的重要性日益突出2。1.1.2 EDA的设计流程及优点 EDA的设计流程如下:(1)根据设计题目要求编写相应程序代码(2)对编写的VHDL程序代码进行编译和仿真(3)利用实验箱完成硬件验证(4)总结设计内容,完成课程设计说明书EDA技术与传统的电子设计相比,传统的电子设计方法是一种自底向上且费时费力的设计方法,而现代电子设计技术(EDA)是自顶向下且先进高效的。在电子产品的设计理念、设计方式、系统硬件构成、设计的重用性、知识产权、设计周期等方面,EDA技术具

13、有一定的优势3。1.1.3 VHDL介绍VHDL语言是一种用于电路设计的高级语言。它在80年代的后期出现。最初是由美国国防部开发出来供美军用来提高设计的可靠性和缩减开发周期的一种使用范围较小的设计语言 。VHDL的英文全写是:VHSIC(Very High Speed Integrated Circuit)Hardware Descriptiong Language.翻译成中文就是超高速集成电路硬件描述语言。因此它的应用主要是应用在数字电路的设计中。目前,它在中国的应用多数是用在FPGA/CPLD/EPLD的设计中。当然在一些实力较为雄厚的单位,它也被用来设计ASIC。但是,由于它在一定程度上

14、满足了当时的设计需求,于是他在1987年成为ANSI/IEEE的标准(IEEE STD 1076-1987)。1993年更进一步修订,变得更加完备,成为ANSI/IEEE的ANSI/IEEE STD 1076-1993标准。目前,大多数的CAD厂商出品的EDA软件都兼容了这种标准4。在MAX软件平台上有很多种输入方式,特别介绍VHDL是因为用VHDL语言进行数字逻辑电路和数字系统的设计,是电子电路设计方法上的一次革命性变革。与传统设计方法相比,VHDL描述电路行为的算法有很多优点:(1) 设计层次较高、用于较复杂的计算时,能尽早发现存在的问题,缩短设计周期。(2) 独立实现,修改方便,系统硬件

15、描述能力强。(3) 可读性好,有利于交流,适合于文档保存。(4) VHDL语言标准、规范、移植性强。(5) VHDL类型众多而且支持用户自定义类型,支持自顶而下的设计方法和多种电路的设计。1.2 自动售货机的应用与设计背景1.2.1 自动售货机的应用介绍从广义讲自动售货机是通过投入硬币后便可以销售商品的机械,从狭义来讲就是自动销售商品的机械。从供给的条件看,自动售货机可以充分补充人力资源的不足,适应消费环境和消费模式的变化,24小时无人售货的系统可以更省力,运营时需要的资本少、面积小,有吸引人们购买好奇心的自身性能,可以很好地解决人工费用上升的问题等各项优点。采用EDA的方法设计电路与传统设计

16、方法比较。传统的设计方便是先设计电路版图,然后制版,耗费巨大时间精力,即传统的电子设计方法是一种自底向上且费时费力的设计方法,而现代电子设计技术(EDA)是自顶向下且先进高效的5。1.2.2 自动售货机的设计要求设计一个具有实用性和较低成本的自动售货机,其具体功能要求如下:自动售货机只出售1元、1元5角、和2元三种饮料,机器有一个投币孔,能识别1 元、5角、1角三种硬币,且每次只能投一个币,每次只售出一瓶饮料。机器能计算投币总额,看是否超过、正好或者少于饮料的价格,并发出饮料、找零和欠资信号等。设有reset键,方便管理员管理。2 系统分析与设计方案2.1 系统分析根据设计要求使用VHDL设计

17、制作一个自动售货机控制系统,该系统能完成货物信息存储、进程控制、硬币处理、余额计算和显示等功能。 该系统可以管理四种货物,每种的数量和单价在初始化时输入,在存储器中存储。 用户可以用硬币进行购物,按键进行选择系统根据用户输入的货币,判断钱币是否够,钱币足够则根据顾客的要求自动售货,钱币不够则给出提示并退出,系统自动的计算出应找钱币余额、库存数量并显示。2.2 系统设计方案通过对系统分析,将自动售货机功能模块逻辑上划分为货物信息存储模块、进程控制模块、硬币处理模块、余额计算模块、显示模块,由于条件上的限制,对投币模块中的货币检测未进行处理,系统的大致框图如图2-1所示6。时钟分频器 RAM按键控

18、制器数据显示译码投币图2-1 自动售货机系统框图本自动售货机售出3种产品,商品价格分别为1 元,1元5角,2 元,在购买的过程中,我们采取投币的方式,只能投入1 元,5 角的硬币只能投当你的投币总值超过商品价格的时候,商品售出并找零;当你的投币总值刚好等于商品价格的时候,商品售出不找零;投币总值不够,则处于等待状态,系统会自动的计算出应找钱币的余额、库存数量并显示7。 当顾客选择所需要的商品时,自动售货机会对机内商品信息进行识别,对投入的货币进行运算,并根据所投入的货币数值判断是否能够购买某种商品,并做出相应的反应。系统工作流程如图2-2所示。 图2-2 自动售货机系统工作流程图本论文设计的自

19、动售货机控制系统主要可以实现投币处理、计算投币总额、输出商品,输出找零等功能,以满足顾客的需求。3 Max+Plus设计过程3.1 Max+Plus的介绍Max+plus是Altera公司提供的FPGA/CPLD开发集成环境,Altera是世界上最大可编程逻辑器件的供应商之一。Max+plus界面友好,使用便捷,被誉为业界最易用易学的EDA软件。在Max+plus上可以完成设计输入、元件适配、时序仿真和功能仿真、编程下载整个流程,它提供了一种与结构无关的设计环境,是设计者能方便地进行设计输入、快速处理和器件编程8。1. Max+plus开发系统的特点(1)开放的界面:Max+plus支持与Ca

20、dence,Exemplarlogic,Mentor Graphics,Synplicty,Viewlogic和其它公司所提供的EDA工具接口。(2)与结构无关:Max+plus系统的核心Complier支持Altera公司的FLEX10K、FLEX8000、FLEX6000、MAX9000、MAX7000、MAX5000和Classic可编程逻辑器件,提供了世界上唯一真正与结构无关的可编程逻辑设计环境。(3)完全集成化:Max+plus的设计输入、处理与较验功能全部集成在统一的开发环境下,这样可以加快动态调试、缩短开发周期。(4)丰富的设计库:Max+plus提供丰富的库单元供设计者调用,其

21、中包括74系列的全部器件和多种特殊的逻辑功能(Macro-Function)以及新型的参数化的兆功能(Mage-Function)。(5)模块化工具:设计人员可以从各种设计输入、处理和较验选项中进行选择从而使设计环境用户化。(6)硬件描述语言(HDL):Max+plus软件支持各种HDL设计输入选项,包括VHDL、Verilog HDL和Altera自己的硬件描述语言AHDL。(7)Opencore特征:Max+plus软件具有开放核的特点,允许设计人员添加自己认为有价值的宏函数9。2. Max+plus功能简介(1)原理图输入(Graphic Editor): Max+Plus软件具有图形输

22、入能力,用户可以方便的使用图形编辑器输入电路图。(2)硬件描述语言输入(Text Editor): Max+Plus软件中有一个集成的文本编辑器,该编辑器支持VHDL,AHDL和Verilog硬件描述语言的输入,同时还有一个语言模板使输入程序语言更加方便,该软件可以对这些程序语言进行编译并形成可以下载配置数据。(3)波形编辑器(Waveform Editor):在进行逻辑电路的行为仿真时,需要在所设计电路的输入端加入一定的波形,波形编辑器可以生成和编辑仿真用的波形(*.SCF文件),使用该编辑器的工具条可以容易方便的生成波形和编辑波形。(4)管脚(底层)编辑窗口(Floorplan Edito

23、r):该窗口用于将已设计好逻辑电路的输入输出节点赋予实际芯片的引脚,通过鼠标的拖拉,方便的定义管脚的功能。(5)自动错误定位:在编译源文件的过程中,若源文件有错误,Max+Plus软件可以自动指出错误类型和错误所在的位置。(6)逻辑综合与适配:该软件在编译过程中,通过逻辑综合(Logic Synthesizer)和适配(Fitter) 模块,可以把最简单的逻辑表达式自动的吻合在合适的器件中。(7)设计规则检查:选取CompileProcessingDesign Doctor菜单,将调出规则检查医生,该医生可以按照三种规则中的一个规则检查各个设计文件,以保证设计的可靠性。一旦选择该菜单,在编译窗

24、口将显示出医生,用鼠标点击医生,该医生可以告诉你程序文件的健康情况。(8)多器件划分(Partitioner):如果设计不能完全装入一个器件,编译器中的多器件划分模块,可自动的将一个设计分成几个部分并分别装入几个器件中,并保证器件之间的连线最少。(9)编程文件的产生:编译器中的装配程序(Assembler)将编译好的程序创建一个或多个编程目标文件:EPROM配置文件(*.POF) 例如,MAX7000系列,SRAM文件(*.SCF) 例如,FLEX8000系列的配置芯片EPROM ,JEDEC文件(*.JED),十六进制文件(*.HEX),文本文件(*.TTF),串行BIT流文件(*.SBF)

25、。(10)仿真:当设计文件被编译好,并在波形编辑器中将输入波形编辑完毕后,就可以进行行为仿真了,通过仿真可以检验设计的逻辑关系是否准确。(11)分析时间(Analyze Timing):该功能可以分析各个信号到输出端的时间延迟,可以给出延迟矩阵和最高工作频率。(12)器件编程:当设计全部完成后,就可以将形成的目标文件下载到芯片中,实际验证设计的准确性10。3. Max+plus的设计流程(1)设计准备在对可编程逻辑器件的芯片进行设计之前,首先要进行方案论证、系统设计和器件选择等设计准备工作。设计者首先要根据任务要求,如系统所完成的功能及复杂程度,对工作速度和器件本身的资源、成本及连线的可布通性

26、等方面进行权衡,选择合适的设计方案。(2)设计输入:设计者将所设计的系统或电路以开发软件要求的某种形式表现出来,并送入计算机的过程称为设计输入。设计输入通常有以下几种方式。 1) 原理图输入方式 2) 硬件描述语言输入方式 3) 波形输入方式 4) 层次化设计输入方式(3)项目编译(设计处理)这是器件设计中的核心环节。在设计处理过程中,编译软件将对设计输入文件进行逻辑化简、综合和优化,并适当地用一片或多片器件自动进行适配,最后产生编程用的编程文件。(4)仿真和定时分析(项目校验):设计项目的校验包括设计项目的仿真(功能仿真)、定时分析两个部分。一个设计项目在编译完成后只能为项目创建一个编程文件

27、,但并不能保证是否真正达到了用户的设计要求,如逻辑功能和内部时序要求等。所以在器件编程之前应进行全面模拟检测和仿真调试,以确保其设计项目在各种可能的情况下正确响应和正常工作,这就是项目校验(仿真调试)的必要性。Max+plus提供的设计校验过程包括仿真和定时分析,项目编译后,为确保设计无误,再用专门软件进行仿真。如果发现了错误,则对设计输入进行部分修改直至无误11。3.2 各模块的VHDL设计下面是VHDL程序的说明:文件名:pl_auto1.vhd。功能:货物信息存储,进程控制,硬币处理,余额计算,显示等功能。说明:显示的钱数coin的以5角为单位。library ieee;use ieee

28、.std_logic_arith.all;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity PL_auto1 isport ( clk:in std_logic; -系统时钟set,get,sel,finish: in std_logic; -设定、买、选择、完成信号coin0,coin1: in std_logic; -5角硬币、1元硬币price,quantity :in std_logic_vector(3 downto 0); -价格、数量数据item0 , act:out std_logic_vect

29、or(3 downto 0); -显示、开关信号y0,y1 :out std_logic_vector(6 downto 0); -钱数、商品数量显示数据act10,act5 :out std_logic); -1元硬币、5角硬币end PL_auto1;architecture behav of PL_auto1 istype ram_type is array(3 downto 0)of std_logic_vector(7 downto 0); signal ram :ram_type; -定义RAMsignal item: std_logic_vector(1 downto 0); -

30、商品种类signal coin: std_logic_vector(3 downto 0); -币数计数器signal pri,qua:std_logic_vector(3 downto 0); -商品单价、数量signal clk1: std_logic; -控制系统的时钟信号3.2.1 货物信息的存储模块的设计该模块的功能是将商品的单价、数量置入到自动售货机,price、quantity 分别为货品的单价与数量数据信号,item 为商品的种类,act 为开关信号,其中clk1和set是输入引脚,reset是复位引脚,item,act10,act5是输出引脚。其存储模块的图形如图3-1所示。

31、 图3-1 存储模块图形该模块的控制程序如下:com:process ( set ,clk1)variable quan : std_logic_vector (3 downto 0) ;Beginif set =1 then ram(conv_integer (item) ) = price &quantity ;act = 0000 ;把商品的单价、数量置入到RAMelsif clk1event and clk1 =1 then act5 =0 ; act10 =0 ;时钟CLK1上升沿act5,act10为0end if 该程序主要用来显示商品的单价并且显示售货机内部是否还有存货,以便顾

32、客选择所要的商品12。3.2.2 进程控制模块的设计进程控制模块的功能是对商品进行选择与购买。 get 为对商品进行购买的信号,输出参数coin 为币数计数器。其中item和coin为输入引脚,VCC来提供电源,get和qua为输出引脚。其进程控制模块的图形如图3-2所示。图3-2 进程控制模块图形该模块的控制程序如下:if elsifsel =1 then item 0000 and coin = pri then coin = coin - pri ;quan : = quan - 1 ; ram(conv_integer (item) ) = pri & quan ; if item =

33、 00 then act = 1000 ; 购买时,自动售货机对4种商品的操作 elsif item = 01 then act = 0100 ; elsif item = 10 then act = 0010 ; elsif item = 11 then act = 0001 ; end if ; 结束交易,退币(找币) end if ; end if ; 本程序主要用于顾客投币后按了购买键,系统会根据投入币数的种类和以及数量执行出货功能。如果顾客按了取消键,系统将退还顾客投入的所有硬币13。3.2.3 硬币处理模块硬币处理模块的功能是对投入硬币进行处理的过程,该过程中币数计数器coin 是

34、以0. 5 元为单位。其中coin0是输入引脚,reset是复位引脚,coin是输出引脚。其硬币处理模块的图形如图3-3所示。 图3-3 硬币处理模块图形该模块的控制程序如下:if coin0 =1 then if coin 1001 then coin = coin + 1 ;投入5角硬币,coin自加 else coin = 0000 ; end if ; elsif coin1 =1 then if coin 1001 then coin = coin + 2 ;投入1元硬币,coin自加2 else coin 0001 then act10 =1 ; coin 0000 then ac

35、t5 =1 ; coin =coin - 1 ; else act5 =0 ; act10 =0 ; end if ; elsif get =0 then act y0 y0 y0 y0 y0 y0 y0 y0 y0 y0 y0 y1 y1 y1 y1 y1 y1 y1 y1 y1 y1 y1 = 1111111 ; end case ;end process ;该程序主要是对投入的钱数和商品的单价进行BCD到七段码的译码,以便于系统能够识别。在该设计中,我们不考虑具体外围电路的情况,只是用电平去模拟输入输出信号。下面是输入和输出的端口以及说明输入端口:clk : 时钟信号;reset : 系

36、统复位信号;coin0 : 代表投入5 角硬币;coin1 : 代表投入1 元硬币;输出端口:act5 : 表示找回5 角硬币;act10 :表示找回1 元硬币;dispense : 表示机器售出一瓶饮料;collect : 该信号用与提示投币者取走饮料.3.3 顶层电路的设计流程此过程的设计流程主要包括编辑顶层设计文件、创建工程、全程编译、观察RTL电路图、仿真、了解时序分析结果、引脚锁定、再次编译并下载、对FPGA的存储单元在系统读写测试、嵌入式逻辑分析仪测试等。(1)启动Maxplus,启动之后选择FileNewGraphic Editor file设计出自动售货机控制器。(2)根据设计

37、原理设计出整个电路图之后,我们需对某些模块进行顶层电路设计,此时我们选择FileNewText新建一个文本文件,并且取名为xujuan_settime。在对其输入自己设计的VHDL源程序,并对其进行编译、仿真等。(3)为此顶层设计创建一项工程,工程名和实体名都可以是settime。(4)全程编译一次后进入时序仿真测试。选择MaxPlusSimulator,单击弹出的对话框中的Start按钮,仿真结束后,单击Open SCF,打开仿真文件分析仿真结果的输出和输入逻辑关系是否正确。(5)根据设计电路的原理图以及各个模块部分的VHDL源程序,再对其进行硬件测试。选择不同的电路模式,则时钟CLK接不同

38、的实验箱的clock端。(6)使用嵌入式逻辑分析仪测试波形8。根据设计自动售货机的总体要求,可将VHDL程序所生成的模块图和对应的输入输出相连,得到最终的总体电路原理图。如图3-6所示。 图3-6 总体原理图3.4 仿真与功能测试3.4.1 编译及仿真EDA工具在EDA技术应用中占据极其重要的位置,EDA的核心是利用计算机完成电子设计全程自动化,因此基于计算机环境的EDA软件的支持是必不可少的。此次设计所用EDA工具是由著名的Alter公司生产的MAXplus工具软件,它是一种集成的开发环境,支持原理图、VHDL和Verilog语言文本文件,以及波形文件作为设计输入,并支持这些文件的人以混合设计14。Maxplus工具软件具有门级仿真器,可以进行功能仿真和时序仿真,能够产生精确的仿真结果,同是还支持主流第三方EDA工具,所以可以说Maxplus是当今最优秀的EDA工具软件之一。所以可以利用这些软件可以得到系统的仿真图如图3-7所示,通过对仿真的分析,其能满足设计的要求。 图3-7 系统仿真全图图3-8是当顾客选择所需商品时,系统根据顾客投入币数的种类和数量进行出货功能。图3-8 商品种类选择部分图3-9是对顾客投入的硬币进行计算,以便于系统判断顾客所买的饮料的价格是否小于或等于投入的硬币。图3-9 投币部分

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 其他


经营许可证编号:宁ICP备18001539号-1