数字功放的设计与制作 毕业论文.doc

上传人:韩长文 文档编号:3931899 上传时间:2019-10-10 格式:DOC 页数:34 大小:1.20MB
返回 下载 相关 举报
数字功放的设计与制作 毕业论文.doc_第1页
第1页 / 共34页
数字功放的设计与制作 毕业论文.doc_第2页
第2页 / 共34页
数字功放的设计与制作 毕业论文.doc_第3页
第3页 / 共34页
数字功放的设计与制作 毕业论文.doc_第4页
第4页 / 共34页
数字功放的设计与制作 毕业论文.doc_第5页
第5页 / 共34页
点击查看更多>>
资源描述

《数字功放的设计与制作 毕业论文.doc》由会员分享,可在线阅读,更多相关《数字功放的设计与制作 毕业论文.doc(34页珍藏版)》请在三一文库上搜索。

1、目 录摘 要IAbstractII第1章 任务与要求11.1课题概述21.1.1 D类音频功放和其他音频功放的比较21.1.2 D类音频功放的展望31.2 设计内容与要求41.3参数要求4第2章 引 言52.1研究背景52.2论文研究目标和意义62.3论文章节安排6第3章 方案论证与设计73.1 总体设计分析73.2 方案的选择与设计73.2.1 三角波发生器的设计83.2.2高速开关电路83.3 方案确定9第4章 硬件电路设计104.1 原理分析104.2模块简介134.2.1工作芯片简介134.2.2三角波发生器154.2.3 放大电路164.2.4脉宽调制比较器164.2.5 驱动电路、

2、H桥及整形电路184.3 PCB图19第5章 电路调试205.1调试的设备205.2.调试步骤205.2.1不通电检查205.2.2通电检查215.2.3测试和调整215.2.4整机联调215.3 实际测试的波形22第6章 使用说明246.1使用方法246.1.1 注意事项246.1.2 使用与维护246.2故障分析246.3总结25第7章 心得体会26参考文献27附件一:总原理图:28附件二:元件清单29 摘 要本文:近年来,随着MP3、PDA、手机、笔记本电脑、车载音响等便携式多媒体的普及, D类功放以效率高、体积小等优势,比传统的线性功放更受人们的青睐,具有十分重要的应用价值和市场前景。

3、 本文在对传统的音频放大器进行分析的基础上,改进了电路结构,设计了一种基于D类功放的高效率、低失真、免输出滤波器的音频放大器。采用的主要方法如下:将音频信号通过芯片NE5532构成的电路进行前置放大,电容与NE5532组和产生的三角波,并通过LM393进行将前置放大的正弦波与三角波进行比较处理,进行脉宽调制产生矩形波,并将矩形波传递到CD40106进行波形的整形及H桥进行的功率放大和保真,最后通过LC震荡电路驱动喇叭工作,实现音频的输出。【关键词】:D类功放;前置放大;三角波;脉宽调制;H桥;整形; LC震荡电路II Abstract This paper:In recent years, w

4、ith MP3, PDA, mobile phones, notebook computers, vehicle acoustics portable multimedia popularization, the class D power amplifier with high efficiency, small volume, advantages than the traditional linear power amplifier are more the favour of people, have very important application value and marke

5、t prospect. Based on the traditional audio amplifier based on the analysis of the circuit structure, the design improvement based on class D power amplifier of high efficiency and low distortion, avoid output filter audio amplifier. The main methods are as follows: the audio signal through a circuit

6、 chip NE5532 preamplifier, capacitance and NE5532 group and produces a triangular wave, and through the LM393 it preamplifier sine wave and triangular wave comparison treatment, pulse width modulation produce rectangular wave, and the rectangular wave transmission to CD40106 for corrugated plastic a

7、nd H bridge power amplifier and fidelity, and finally through the LC oscillation circuit drive horn, realizing audio output.【 key words 】 : Class D power amplifier; Preamplifier; Triangular wave. Pulse width modulation; H bridge; Plastic;LC oscillation circuit1第1章 任务与要求一、设计任务与要求1、设计任务: 设计并制作一个高效率音频功

8、率放大器及其参数的测量、显示装置。功率放大器的电源电压为+5V(电路其他部分的电源电压不限),负载为 8电阻。2、指导老师:谢永超3、基本要求(1)功率放大器a3dB通频带为300Hz3400Hz,输出正弦信号无明显失真。b最大不失真输出功率1W。c输入阻抗10k,电压放大倍数120连续可调。d低频噪声电压(20kHz以下)10mv,在电压放大倍数为10,输入端对地交流短路时测量。e在输出功率500mW时测量的功率放大器效率(输出功率/放大器总功耗)50%。(2)具有输出短路保护功能。3、设计要求: 绘制系统组成框图,确定设计方案; 了解电路所需集成芯片的功能,参数和工作原理; 绘制整机电路图

9、; 制作实物并完成软、硬件调试; 提交毕业设计论文。1.1课题概述本设计主要由前置放大,载波发生器,脉宽调制电路,整形电路,功放电路和保护电路组成。功率放大器部分采用D类功率放大器确保高教率,在5V供电情况下输出功率大于lW,且输出渡形无明显失真,低频输出噪声电压很低;电路简单合理:保护电路部分采用短路保护。1.1.1 D类音频功放和其他音频功放的比较AB类放大器的主要特点是:晶体管的导通时间稍大于半周期,必须用两管推挽工作。可以避免交越失真,交替失真较大,可以抵消偶次谐波失真。具有效率较高、晶体管功耗较小的优势。D类音频功率放大器是一种将输入模拟音频信号通过PWM (脉冲宽度调制)或PDM

10、(脉冲密度调制)的调制变换成脉冲信号,然后用PWM 或PDM的脉冲信号去控制大功率开关器件通断的音频功率放大器,也称为开关放大器。其优点 为:具有很高的效率,通常能够达到85以上;体积小,比模拟的放大电路节省很大的空间;无裂噪声接通;低失真,频率响应曲线好。外围元器件少,便于设计调试。1.1.2 D类音频功放的展望随着PWM调制器技术和扩频技术的应用,D类放大器将在音频功放应用领域盛行起来。现代D类放大器除具有AB类放大器的所有优点(即良好的线性和最小的电路板空间)外,更具有高效、低功耗等优点。有多种D类放大器可供选用,以满足各类应用需求。这些应用包括低功耗便携式应用(如蜂窝电话和笔记本电脑)

11、,电池寿命、电路板空间和EMI兼容性要求在这类应用中至关重戛还包括大功率应用(如车载音响系统或平板显示器,最大限度降低散热需求和发热量在这类应用中必不可少。D类放大器固然性能出色,也面临着不少的设计挑战。在进行50W 500W的大功率的D类音频放大器的应用设计中,面临以下问题:对于许多音频设备设计者而言为一新领域,特别是在EMI和滤波设计方面;过电流保护设计比较复杂。随着D类音频放大器的技术的发展,它将用于巾、高档音频系统完全替代AB类音频放大器。1.2 设计内容与要求1) 确定设计方案,绘制电路原理图。2) 试制本机(含外观设计)。3) 确定本机测试方案。4) 本课题组必须制作一组实物。5)

12、 现场测试、写出测试报告。1.3参数要求1)功率放大器a3dB通频带为300Hz3400Hz,输出正弦信号无明显失真。b最大不失真输出功率1W。c输入阻抗10k,电压放大倍数120连续可调。d低频噪声电压(20kHz以下)10mv,在电压放大倍数为10,输入端对地交流短路时测量。e在输出功率500mW时测量的功率放大器效率(输出功率/放大器总功耗)50%。2)具有输出短路保护功能。 4第2章 引 言2.1研究背景随着现代电子技术的不断发展,集成电路被广泛应用于各类电子电路中。随着近十几年来半导体技术的进步,功率放大电路也得到了飞速的发展和应用。音频功率放大电路是原理上最为基本、应用上最为广泛的

13、功率放大电路。目前大部分音响系统中的功放都是模拟类型,传统的模拟功放按放大器的工作状态可分为:A类、B类、AB类等形式。A类、AB类功放是音响系统中最为常用的功放。传统类音频放大器的一个共同缺点是效率很低,A类音频放大器的理论效率是25%,实际效率大约为15-20%;B类音频放大器的理论最大效率是78.5%;AB类音频放大器的理论效率75%,实际效率在50-70%之间。无论A类,B类还是AB类音频功率放大器,当它们的输出功率小于额定输出功率时,效率就会明显降低,播放动态的语言、音乐时平均工作效率只有30%左右。音频功率放大器的效率低就意味着工作时有相当多的电能转化成热能,也就是说,这些类型的音

14、频功率放大器要有足够大的散热器。在半导体设计潮流走向轻薄短小之际,不仅半导体组件本身的封装要小,整个模块的尺寸也变成决定系统客户接受与否的关键规格。全球音视频领域的数字化浪潮以及人们对音视频设备节能环保的要求,迫使人们尽快研究开发高效、节能、易于与数字化设备接口的音频功率放大器。D类数字音频放大器就是在这样的背景下兴起的。D类数字音频功率放大器是一种将输入模拟音频信号或PCM(Pulse Code Modulation,脉冲编码调制)数字信息变换成PWM(脉冲宽度调制)或PDM(脉冲密度调制)的脉冲信号,然后用PWM或PDM的脉冲信号去控制大功率开关器件通/断音频功率放大器,也称为开关放大器。

15、由于其开关管工作于开关状态,因此具有高效率、低功耗等优点。提到功放的设计人们立即会想到要解决两个问题一是保真度二是效率模拟功放利用晶体管的线性关系保真度较高因此在目前的音响系统里模拟功放仍占有主导地位模拟功放的不足是效率低能量消耗大散热要求高一般地A 类功放的效率在50%以下即使是改进的B 类或AB 类其效率也在75%以下而D 类功放效率高体积小重量轻输出功率大的数字音频功放在20 世纪90年代末逐渐被行家们所重视近几年发展迅速各种专用的集成芯片通用音响产品不断推陈出新不够理想的音质得到极大改善且数字音频功放的应用有利于实现音响系统的全数字化。2.2论文研究目标和意义随着电子技术的迅速发展各种

16、D 类功放的设计方法也层出不穷笔者设计的D 类功放效率可达到86.9%以上在负载是4 时最大输出功率可达71 W 且音质较好基本可满足家庭音响的要求。对于传统的音频功率放大器工作时,直接对模拟信号进行放大,工作期间必须工作于线性放大区,功率耗散较大,虽然采用推挽输出,减少了功率器件的承受功率,但在较大的功率情况下,仍然对功率器件构成极大地威胁,功率输出受到限制。模拟功率放大器还存在以下的特点: 电路复杂,成本高。常常需要设计复杂的电路和过流,过压,过热等保护,体积较大,电路复杂。效率低,输出功率不可能做的很大。D类开关音频功率放大器的工作基于PWM模式:将音频信号与采样频率比较,经过自然采样,

17、得到脉冲宽度与音频信号幅度成成正比变化的PWM波,然后经过驱动电路,加到功率MOS的栅极,控制功率器件的开关,实现放大,将放大的PWM信号送入滤波器,则还原音频信号。D类功率放大器工作于开关状态,理论效率可达100%,实际的运用也可达80%以上。功率器件的耗散功率小,产生热量少,可以大大地减少散热器的尺寸,连续输出功率很容易达到数百瓦。功率MOS有自我保护电路,可以大大的简化保护电路,而且不会引起非线性失真。这样可以更好的跟上了音频领域数字化的浪潮同时也满足了人们对节能环保的要求。具有一定的现实意义。2.3论文章节安排本论文大致可分为三部分:第一部分主要介绍了功率放大器的一些基本知识;第二部分

18、包含第三章至第六章,介绍了D类功率放大器的制作与原理;第三部分包括第七章,是论文总结及未来相关展望。本文的内容组织安排如下:第一章主要讲述论文的研究背景、论文研究目标以及章节安排。第二章系统地介绍了在整个项目制作过程中我们由设计方案的选择与确定到最终整个项目的完成过程中,我们所做的一些具体事项。例如:方案确定后我们对这个项目实施的一些安排与调试等情况。第三部分对我们这个项目进行了总的一个概括,以及我们对整个项目的总结与心得。第3章 方案论证与设计3.1 总体设计分析本课题设计的是一个高效率音频功率放大器及其参数的测量、显示装置。功率放大器的电源电压为+5V(电路其他部分的电源电压不限),负载为

19、8电阻。通过分析此项目可分为前置放大,载波发生器,脉宽调制电路,整形电路,功放电路等模块完成并实现。 系统框图如下所示: 3.2 方案的选择与设计3.2.1 三角波发生器的设计方案一 :用普通PWM芯片做为三角波发生器。虽然此类芯片可直接产生脉宽调制信号,但芯片中振荡发生的是锯齿波,不符合D类功放所要求的三角波,且振荡发生器是充放电电路产生波形,波形线性不好,难以达到要求。所以此方案不行。方案二 :根据要求,我们自行设计了三角波发生电路。误差放大电路及电压比较器,从而达到脉宽调制的目的,其中关键是三角波发生器。因为D类放大器要求三角波频率高、线性好。这是一般积分微分电路难以达到的。我们选用55

20、5定时器恒流源充放电产生三角波,受到很好的效果。此方案的优点在于可产生合乎要求的脉宽调制信号,且全部期间可由+5V电源直接供电,各项指标也都符合要求。3.2.2高速开关电路方案一:采用推挽单端输出方式,如图3-2-1所示,电路输出信号的峰峰值不可能超过电源电压,输出功率难以提高。图3-2-1 高速开关电路 方案二:选用H桥输出方式(如图3-2-2所示)。此方式浮动输出载波的峰峰值可达2Vcc,充放利用了电源电压有效提高了输出功率。 图3-2-2 H桥3.2.3 滤波器的选择方案一:利用两个相同的二阶Butterworth低通滤波器,缺陷是负载上的高频分量电压没用得到充分衰减。方案二:利用四个相

21、同的二阶Butterworth低通滤波器,在保证同频带的前提下使负载的高频分量电压充分得到衰减。3.3 方案确定对于功放的设计人们立即会想到要解决两个问题一是保真度二是效率。通过分析方案二的硬件电路更适合电路的制作与调试。第4章 硬件电路设计4.1 原理分析D类功放是放大元件处于开关状态时的一种放大模式。无信号输入时放大器处于截止状态,不耗电。工作时,靠输入信号让晶体管进入饱和状体,晶体管相当于一个接通的开关,把电源与负载直接接通。理想的晶体管因为没有饱和压降而不耗电,实际上晶体管总会有很小的饱和压降而消耗部分电能。这种损耗只与管子的特性有关,而与信号输出的大小无关,所以特别有利于超大功率的场

22、合。D类放大器是将输入前置放大器对信号进行滤波和电平转移,另外还包括锯齿波振荡器、两个比较器、两个MOSFET和两个H桥。每一个比较器采样音频信号,采样周期由振荡频率决定,故振荡器频率对D类放大器的性能影响很大。比较器输出脉宽调制的方波,用于驱动H桥。H桥输出差动方波,构成低阻信号源给LC滤波器和负载。D类放大器使用多种调制器拓扑结构,而最基本的拓扑组合了脉宽调制(PWM)以及三角波(或锯齿波)振荡器。图2给出一个基于PWM 的半桥式D类放大器简化框图。它包括一个脉宽调制器,两个输出MOSFET和外部低通滤波器(LF 和CF)。如图2所示,P沟道和n沟道MOSFET用作电流导向开关,将其输出节

23、点交替连接至VDD和地。由于输出晶体管使输出端在 或地之间切换,所以D类放大器的最终输出是一个高频方波。大多数D类放大器的开关频率(fsw)通常在250kHz15MHz之间。音频输入信号对输出方波进行脉宽调制,音频输入信号与内部振荡器产生的三角波(或锯齿波)进行比较,可得到PWM信号。这种调制方式通常被称作“自然采样”,其中三角波振荡器作为采样时钟。方波的占空比与输入信号电平成正比。没有输入信号时,输出波形的占空比为50。图3为PWM 输出波形。为了从PWM波形中提取出放大后的音频信号,将D类放大器的输出信号通过低通滤波器来恢复音频信号。图2中的LC低通滤波器作为无源积分器(假设滤波器的截止频

24、率比输出级的开关频率至少低一个数量级),它的输出等于方波的平均值。此外,低通滤波器可防止在阻性负载上耗散高频开关能量。假定滤波后的输出电压(VO-AVG)和电流( IAVG)在单个开关周期内保持恒定,因为 fsw比音频输入信号的最高频率要高得多。因此,占空比与滤波后的输出电压之间的关系,可通过对电感电压和电流进行简单的时域分析得到。流经电感的瞬时电流为:其中,VL(t)是电感瞬时电压。 利用开关波形占空比来表示滤波后的输出电压: D是输出开关波形的占空比。4.2模块简介4.2.1工作芯片简介CD40106BE TI 六施密特触发器:能将缓慢变化的波形整形为边沿陡峭矩形脉冲,同时具有回差电压和较

25、强的抗干扰能力。作用:波形变换,可将输入的三角波、正弦波及周期性不规则信号变换成矩形脉冲输出。脉冲波形整形,在数字系统中,矩形波在传输中经常发生波形畸变,都可以用施密特触发器的回差特性,将波形整形得到比较理想的矩形脉冲。作脉冲幅度鉴别。 该芯片在本设计中用于脉宽调制电路和整形电路。图4-2-1引脚功能:2 4 6 8 10 12数据输出端,1 3 5 9 11 13数据输出端,14电源正极,7接地直流供电电压:315vLM393 低功耗低失调双电压比较器:失调电压低,无论电源大小,电源消耗的电流都很低,主要用于限幅器、简单的模数转换器、脉冲发生器、方波发生器、多频振荡器、高电平逻辑门电路等。它

26、的优势:高精度比较器减少由于温漂引起的失调电压可以单电源供电兼容逻辑电路。它在本设计中用于脉宽调制电路和比较器。图4-2-2电压范围:236v(单电源)NE5532 是高性能低噪声双运算放大器(双运放)集成电路。与很多标准运放相似,但它具有更好的噪声性能,优良的输出驱动能力及相当高的小信号带宽,电源电压范围大等特点。因此很适合应用在高品质和专业音响设备、仪器、控制电路及电话通道放大器。用作音频放大时音色温暖,保真度高,在上世纪九十年代初的音响界被发烧友们誉为“运放之皇”,至今仍是很多音响发烧友手中必备的运放之一。它在本设计中用于前置放大电路中,还用于产生三角波发生器的产生电路。图4-2-3输出

27、驱动能力:600,10V有效值大的电源电压范围:3V-20V4.2.2三角波发生器图4-2-2三角波发生电路本设计采用LM393和NE5532组合,及C3的线性充放电获得三角波。4.2.3 放大电路图4-2-3 放大电路该部分的作用是将输入的音频信号按比例放大以便于三角波进行比较。4.2.4脉宽调制比较器脉宽调制比较器电路主要芯片是LM393,此处要注意的是三角波与音频信号的电压线重合。即正向端、反向端的电压相等。其电压平衡我们通过使用相同大小的电阻来实现。图4-2-4 脉宽调制电路图4-2-4脉宽调制比较器输出波形4.2.5 驱动电路、H桥及整形电路H桥互补对称输出电路 对VMOSFET的要

28、求是导通电阻小,开关速度快,开启电小。因输出功率稍大于1W,属小功率输出,可选用功率相对较小、输入电容较小、容易快速驱动的对管,IRF9640和IRF640 CMOS对管的参数能够满足上述要求,故采用之。实际电路如下图所示。互补PWM开关驱动信号交替开启Q3和Q7或Q4和Q8,分别经两个4阶Butterworth滤波器滤波后推动喇叭工作。整形电路 本电路采用4阶Butterworth低通滤波器对滤波器的要求是上限频率20 kHz, 在通频带内特性基本平坦。通过仿真,得到了一组较佳的参数:L1-L4 47H,C7-C10=1f。图4-2-5 整形与功率放大电路4.3 PCB图 第5章 电路调试正

29、确的调试系统才能使各模块电路正常工作,实现高稳定性的显示。5.1调试的设备电源一台示波器一台信号/电源线一套音频信号源一个电烙铁一个数字万用表一块5.2.调试步骤5.2.1不通电检查 不通电检查:电路连线完成后,先检查接线是否正确。包过错线、少线、多线。这些问题一般是由于在焊接是看错引脚或在修改时忘记去掉先前的线路所造成的。这是在操作过程中很常见的问题。查线时又不容易发现。调试是往往给人以错觉,让我们以为问题出现在元器件上。对此,我们插线的时候要按照原理图逐一检查连线。或者按照实际的安装图检查各个元器件引脚的连线是否在原理图上。无论用什么方法检查,都要记得将已经查过的线路做个标记,同时也要注意

30、元器件的各个引脚接线是否正确。此外。还需测量板子电源端与接地端的电阻,检查电路电源与接地端是否短路。5.2.2通电检查接通电源后首先观察电路是否有异常的现象,例如电路有无冒烟、是否闻到异味、手摸元件是否发烫、电源是否短路等现象。如果出现异常现象应当立即关掉电源,待排除故障后方可重新接入通电,以保证电路正常工作。5.2.3测试和调整测试是指在安装完毕后,对电路参数及工作状态进行的测量。调整则是再测试的基础上对电路的参数进行修正,使其符合要求。本次项目我们采用的是边安装边调试的方法,就是把整个电路分成几个模块分别进行安装、调试。这里主要用到了静态调试与动态调试的方案。静态调试 静态调试一般指没有外

31、加信号的条件下测试电路各点的电位。如测模拟电路的静态工作点,数字电路的各输人、输出电平及逻辑关系等,测出的数据与设计值相比较,若超出允许范围,则应分析原因进行处理。动态调试 动态调试可以利用前级的输出信号作为后级的输人信号,也可用自身的信号检查功能块的各种指标是否满足设计要求,包括信号幅值、波形的形状、相位关系、频率、放大倍数、输出动态范围等。模拟电路比较复杂,而对于数字电路来说,由于集成度比较高,一般调试工作量不太大,只要器件选择合适,直流工作状态正常,逻辑关系就不会有太大问题。一般是测试电平的转换和工作速度。5.2.4整机联调在分模块调试完成之后,将各模块的电路联接起来,观察其动态现象。并

32、把相关仪器设备测量的参数与设计指标相比较,找出其问题,并进行进一步修改。直到符合要求为止。在调试过程中要始终借助设备仪器观察为标准。5.3 实际测试的波形在电路板上找到对应的接线端子,先接上5V的直流电源,在接上音频信号(手机放歌),在输出端接上对应的喇叭,就可以用示波器测试各个点的波形。图5-3-1经过前置放大器输出的正弦波图5-3-2三角波发生器产生的三角波接通电源,接入音频信号。测试同向端、反向端的、输出端的电位表5-3放大电路静态参数测试点电源端接地端同相段反相端输出端测试值5.0V0V2.4V2.4V2.6V图5-3-3正弦波与三角波组合产生的矩形波第6章 使用说明6.1使用方法在对

33、应的接口接通5V电源加入音频信号,并通过接喇叭输出声音。6.1.1 注意事项在使用时注意电压的大小,电源极性是否接反,避免在高温、高湿度环境下使用。6.1.2 使用与维护在调试过程中注意电路板放置的区域是否安全,是否有触点短路,在工作过程中是否有元器件发热冒烟等。在使用示波器。6.2故障分析6-2表功放调试过程中常见的问题及解决方法序号故障现象故障产生的原因解决方法1电路只有输出的杂音电路中从LM393到CD40106BE有线没有连接将没有连接的线路连接起来2输出的矩形波比较平滑电容充放电时间过长将电容的容量换小一点3放大电路的放大倍数为负芯片4脚没接地将芯片4脚接地4放大后的音频信号干扰较大

34、电源本身的波形不平滑在电源跟地之间接一个电容滤波6.3总结原理图设计:充分了解参考设计图的基础上,适当做一部分自己的发挥。当主要的芯片确定后,那么关键的外围设计包括电源等电路与主要电路的互连。PCB设计应注意的问题:PCB设计中要做的目的明确,对于重要的信号线要主意布线的长度和处理地环路。而对于不重要的信号线就可以放在稍低的布线优先级上。安装过程:在安装之前我们要认真的画好项目的接线图。在实物焊接之前应当先将工具、元器件准备好并检查元器件是否有损坏。在实际焊接的时候我们应当按照小元件优先的原则,以为这样方便我们焊接也有利于提升我们焊接的速度,节约我们的时间。整机指标测试:整机调试是指在各个模块

35、的功能都实现后再对整个项目的进行指标测试。功放的主要性能指标有输出功率,频率响应,失真度,信噪比,输出阻抗,阻尼系数等。输出功率:单位为W,由于各厂家的测量方法不一样,所以出现了一些名目不同的叫法。例如额定输出功率,最大输出功率,音乐输出功率,峰值音乐输出功率。音乐功率:是指输出失真度不超过规定值的条件下,功放对音乐信号的瞬间最大输出功率。峰值功率:是指在不失真条件下,将功放音量调至最大时,功放所能输出的最大音乐功率。额定输出功率:当谐波失真度为10%时的平均输出功率。也称作最大有用功率。 第7章 心得体会时间如流水细而不断,看起来漫长,却无时无刻不在逝去;想挽留,但无力回天,一伸手,有限的时

36、光却在指间悄然溜走,将近15周的时间就这样过去了,我的毕业设计终于结束了。在这期间我收获了很多让我体会到有笑有累的光阴。非常感谢学校的老师一直陪伴着我们,每当思路上遇到困难的时候都是因为你们的帮助。在此要感谢我的指导老师对我悉心的指导,感谢老师给我的帮助。在设计过程中,我们通过查阅大量有关资料,与同学交流经验和自学,并向老师请教等方式,使自己学到了不少知识,也经历了不少艰辛,但收获同样巨大。在整个设计中我懂得了许多东西,也培养了我独立工作的能力,树立了对自己工作能力的信心,相信会对今后的学习工作生活有非常重要的影响。而且大大提高了动手的能力,使我充分体会到了在创造过程中探索的艰难和成功时的喜悦

37、。虽然这个设计做的也不太好,但是在设计过程中所学到的东西是这次毕业设计的最大收这次的毕业设计要求是以四个人一组,设计一个D类功率放大器,刚接到这个任务的时候惴惴不安的,可是后然随着不断深入的学习,努力发现自己的能力有了很大的提高。本次设计,从单独模块开始,在进行设计,最后到D类功放完整功能的实现,每一步都让学习的知识应用到实践中去。总之虽然这次的毕业设计结束了,但我学到了很多,但我知道这只是一个开始而已。我要对自己的有更高的要求,才能作为动力不断的取得新的成绩。实习设计中让我学会了不少东西。原来那种浮夸的心态没有了,取而代之的是脚踏实地的努力工作学习。当我摆正自己的心态,以积极乐观向上的心态投

38、入到设计当中,突然觉得心中又多了一份人生的感悟,这次毕业设计让我深刻的体会到了专业的重要性,让我给自己有了一个更好的定位,为将来的工作打好了基础。通过这次毕业设计的机会,提升自我洞察的能力,增强个人与团队成功的信念,发现自身的盲点与不足,提高自身的做事素质、快乐做事方法,为以后工作中向优秀员工、执行力团队靠拢,是一个打造无障碍沟通、责任、节约、互信、高效、协作、付出、积极、进娶创新、奉献!参考文献1戴仙金等.51单片机及其C语言程序开发实例.北京:清华大学出版社,20082万福军等.单片微机原理系统设计与应用.合肥:中国科学技术大学出版社,20053杨利军 等. 电工基础M. 北京: 高等教育

39、出版社,2004.4邓木生周红兵模拟电子电路分析与应用M. 北京:高等教育出版社,2008.5邓木生 张文初 数字电子电路分析与应用M. 北京:高等教育出版社,2008.7张友汉.数字电子技术基础M.北京:高等教育出版社,2004年.8徐治东,王伟军.音响设备原理与维护M.北京:高等教育出版社,2002.附录附件一:总原理图: 附件二:元件清单序号名称代号规格封装数量1电阻R12K/0.25wAXIAL0.312电阻 R2-R322K/0.25wAXIAL0.323电阻R4-R1151K/0.25wAXIAL0.384电阻R12-R1410K/0.25wAXIAL0.335电阻R15-R171

40、0K/0.25wAXIAL0.336电阻R18100K/0.25wAXIAL0.317电容C1100pFRAD0.118 电容C2-C80.1uRAD0.179电容C9-C1110uFRAD0.1310电容C124.7uFRAD0.1111电容C13-C161uFRAD0.1412电感L1-L447uHRB.2/.4413三极管Q1/Q28050TO-92B214三极管Q5/Q68550TO-92B215CMOS管Q3/Q4TRF9540TO-92B216CMOS管Q7/Q8IRF540TO-92B217集成芯片IC1LM393DIP8118集成芯片IC2NE5532DIP8119集成芯片IC3LM393DIP8120集成芯片IC4NE5532DIP8121集成芯片IC5CD40106DIP14130

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 其他


经营许可证编号:宁ICP备18001539号-1