毕业设计(论文)-音频动态声控应用电路.doc

上传人:来看看 文档编号:3950136 上传时间:2019-10-11 格式:DOC 页数:29 大小:2.90MB
返回 下载 相关 举报
毕业设计(论文)-音频动态声控应用电路.doc_第1页
第1页 / 共29页
毕业设计(论文)-音频动态声控应用电路.doc_第2页
第2页 / 共29页
毕业设计(论文)-音频动态声控应用电路.doc_第3页
第3页 / 共29页
毕业设计(论文)-音频动态声控应用电路.doc_第4页
第4页 / 共29页
毕业设计(论文)-音频动态声控应用电路.doc_第5页
第5页 / 共29页
点击查看更多>>
资源描述

《毕业设计(论文)-音频动态声控应用电路.doc》由会员分享,可在线阅读,更多相关《毕业设计(论文)-音频动态声控应用电路.doc(29页珍藏版)》请在三一文库上搜索。

1、目 录摘 要随着数字技术的发展,尤其是计算机技术在控制领域中的广泛应用,使得用数字电路处理模拟信号更加广泛。论文通过对音频信号的处理,转化为数字信号控制LED的显示电路,用立体声左右声道的音频信号控制LED在垂直与水平位置上显示,使LED发光阵列随音乐节奏发光。整个电路由两个十进制计数/分频器CD4017、点阵式LED显示器和运算放大器等组成。关键词:音频信号,计数/分频器CD4017,点阵式LED显示器Title: Audio dynamic sonic application circuit Applicant: Nan Fei (07010255)Speciality: Electric

2、al Engineering and AutomationABSTRACTWith the development of digital technology, especially in the field of computer technology in control, makes widely used in digital circuit processing analog signals more extensive. Paper on audio signal processing, into digital signal control LED display circuit

3、, the vocal about in stereo audio signal control LED in vertical and horizontal position shows, make LED with music rhythm glow. Array The whole circuit consists of two decimal count/points CD4017, dot-matrix frequency of an LED monitor and operational amplifier etc. KEY WORDS: Audio signals, Count/

4、points CD4017, Dot-matrix frequency of an LED monitor 目 录第1章 绪论11.1音频动态声控应用电路的设计目的和意义11.2课题研究的主要内容1第2章 音频动态声控应用电路硬件介绍32.1 音频信号32.2 LED显示器42.2.1 LED显示器简介及工作原理42.2.2点阵式LED显示器的工作原理及电路62.3计数/分频器CD4017的简介72.4运算放大器102.4.1 运放的分类及特点102.4.2 运放的主要参数12第3章 音频动态声控应用电路的设计173.1音频动态电路的组成173.2音频动态声控电路的介绍及工作原理17第4章 电

5、路的调试与实验结果194.1 电路的调试194.2 实验结果及分析19第5章 结论与展望21致 谢23参考文献25第1章 绪论第1章 绪论1.1音频动态声控应用电路的设计目的和意义音频信号是(Audio)带有语音、音乐和音效的有规律的声波的频率、幅度变化信息载体。 根据声波的特征,可把音频信息分类为规则音频和不规则声音。其中规则音频又可以分为语音、音乐和音效。音频是多媒体中的一种重要媒体。我们能够听见的音频信号的频率范围大约是20Hz-2OkHz,其中语音大约分布在300Hz-4kHz之内,而音乐和其他自然声响是全范围分布的。声音经过模拟设备记录或再生,成为模拟音频,再经数字化成为数字音频。音

6、频信号的处理在语音、声纳、地震、通信系统、机械振动、遥感预测、故障诊断等众多领域都得到广泛应用。对音频信号的处理时主要应用数字信号处理技术,灵活方便的实现复杂信号的处理任务,达到高精度、高稳定性和高机动性。目前,信号处理技术、通信技术和多媒体技术的迅猛发展都得益于 DSP 技术的广泛应用。但是对于便携式和家用的语音系统而言,基于一般的 DSP 芯片的设计方案并不理想。首先 DSP 的芯片成本以及开发成本在现阶段仍然是比较高的,尤其是芯片成本,远远不及大批量 ASIC 芯片成本之低。其次便携式的设备对体积要求十分苛刻,限制了一部分 DSP 芯片的使用,而体积正是 ASIC 芯片的优点之一。 1)

7、数字信号处理大都采用 DSP 与 FPGA 现在方兴未艾的移动通信许多关键技术:CDMA 技术,软件无线电,多用户检测等技术都依靠高性能的 DSP 与 FPGA 来实现。第三代数字蜂窝通信系统和其它方兴为艾的高性能通信系统如宽带通信、MPEG-4 和视频点播等的快速发展对 DSPFPGA 提出了许多新的要求。随着超大规模集成电路技术的发展芯片做得越来越小,制造线宽越来越窄,集成的晶体管越来越多,时钟频率越来越高。 软件无线电技术的发展和实用取决于高速集成电路,如 DSP 与 FPGA、模/数、数/模的技术发展情况。2)我国 FPGA 技术和产品的研究开发相对国外落后 我国在 DSP 技术和产品

8、的研究开发成绩斐然,我国 DSP 技术起步较早,基本上与国外同步发展,而在 FPGA 方面的起步较晚。全国有 100 来所高等院校从事 DSP 与 FPGA 的教学和科研,除了一部分 DSP 芯片需要从国外进口外,在信号处理理论和算法方面,与国外处于同等水平。而在 FPGA 信号处理和系统方面,有了喜人的进展,正在进行与世界先进国家同样的研究。1.2课题研究的主要内容本次课题研究内容就是应用A/D转换方式,把模拟信号处理成数字信号,然后把数字信号通过点阵式LED显示出来。先对用放大电路对音频信号处理,再通过计数/分频器CD4017对模拟信号进行转换,最后产生高低电平激发对相应的LED点阵显示器

9、,来形成音频动态声控电路的设计。第2章 音频动态声控应用电路硬件介绍23第3章 音频动态声控应用电路的设计第2章 音频动态声控应用电路硬件介绍2.1 音频信号1 )数字音频信号的采集音频信号是模拟信号,是通过麦克风捕获到的变成为一定电平的信号。它是时间的连续函数。我们知道这个信号振幅就是音量,频率就是音调。一般来说人耳可感受的正弦波的范围是从20 Hz 的低频声音到20 000 Hz 的高频声。把这样的模拟信号转变成计算机以及网络能够接受的数字信号的第1 步是对模拟信号进行采样,使其成为时间的离散函数(此为固定周期)。为了以后恢复模拟信号的原貌,采样频率应该不低于模拟信号最高频率的两倍。第2步

10、就是对采样来的离散信号进行编码即所谓的脉冲编码调制(pulse code modulation,PCM),也就是用二进制码来表示每个离散信号的幅度。硬件实现上主要是由采样保持器和模数转换器来完成的,即构成一个音频输入设备。2 )音频数据压缩采集来的音频数据有着相当巨大的数据量,如果不经过压缩,保存它们需要大量的存贮空间,传输起来也比较困难,很自然,人们想到了压缩。可以说,这一环节在数字音频技术中占有特别重要的地位。目前常用的压缩方法有很多种,不同的方法具有不同的压缩比和还原音质。编码的格式和算法也各不相同,其中某些压缩算法相当复杂,普通程序不可能去实现其编解码算法。值得庆幸的是,Windows

11、 为数字音频技术提供了这方面的支持,引入了音频压缩管理器(audio compression manager,ACM),它是负责管理系统中所有数字音频的编解码器(coder decoder,CODEC)。我们可以通过ACM提供的编程接口调用这些系统中现成的编解码器来实现音频数据的压缩和解压缩。3) 常见音频信号常见的音频信号主要有电话音频信号、调频、调幅无线电广播音频信号和高保真数字的立体声音频信号。由于用途不同,这些音频信号频带宽度也各不相同,而且,在音响设备中,通常以音频信号的带宽来衡量声音的质量。图4-4中表示了这4种常见音频信号的带宽。4)音频信号的获取音频信号的获取框图如图2-1所示

12、。 2-1音频信号的获取框图 5) 音频信号的处理不管多媒体信息是音频信号还是视频信号,其数据量都是十分巨大的。如果像图2-1所示的那样,经A/D转换的数字化音频信号直接进入计算机进行存储(记录)或进行传送,是不可取的。6) 音频信号的回放经压缩的音频信号以一定的格式记录在有关的媒体上,例如,磁带、磁盘及光盘等,或者以一定的格式传送到接收端。在音频信号接收端或由媒体回放音频信号时,首先由专用的硬件或软件对压缩数据进行解压缩,恢复音频数字信号,然后,经由图2-2所示的电路框图对音频信号进行放音。 图2-2对音频信号进行放音框图2.2 LED显示器2.2.1 LED显示器简介及工作原理1)LED

13、显示屏是利用发光二极管点阵模块或像素单元组成的平面式显示屏幕。由于它具有发光率高、使用寿命长、组态灵活、色彩丰富以及对室内外环境适应能力强等优点,自20世纪80年代后期开始,随着LED制造技术的不断完善,在国外得到了广泛的应用。在我国改革开放之后,特别是进入90年代国民经济高速增长,对公众场合发布信息的需求日益强烈,LED显示屏的出现正好适应了这 一市场形势,因而在LED显示屏的设计制造技术与应用水平上都得到了迅速的提高。LED显示屏经历了从单色、双色图文显示屏,到图象显示屏,一直到今天的全彩色视频显示屏的发展过程。无论在期间的性能(提高亮度LED显示器及蓝色 发光灯等)和系统的组成(计算机化

14、的全动态显示系统)等方面都取得了长足的 进步。目前已经达到的超高亮度全彩色视频显示的水平,可以说能够满足各种应用条件的要求。其应用领域已经遍及交通、证券、电信、广告、宣传等各个方面。我国LED显示屏的发展可以说基本上与世界水平同步,至今已经形成了一个具有相当发展潜力的产业。2)led电子显示屏工作原理(1)LED电子显示屏系统组成 本系统由计算机专用设备、显示屏幕、视频输入端口和系统软件等组成。 计算机及专用设备:计算机及专用设备直接决定了系统的功能,可根据用户对系统的不同要求选择不同的类型。 显示屏幕:显示屏的控制电路接收来自计算机的显示信号,驱动LED发光产生画面,并通过增加功放、音箱输出

15、声音。 视频输入端口:提供视频输入端口,信号源可以是录像机、影碟机、摄像机等,支持NTSC、PAL、S_Video等多种制式。 系统软件:提供LED播放专用软件,powerpoint或ES98视频播放软件。 系统原理图如下:(2)LED电子显示屏系统功能 该系统具备如下功能: 以计算机为处理控制中心,电子屏幕与电脑显示器(VGA)窗口某一区域逐点对应,显示内容实时同步,屏幕映射位置可调,可方便随意地选择显示画面的大小。 显示点阵采用超高亮度 LED发光管(红、绿双基色),256级灰度,颜色变化组合65536种,色彩丰富逼真,并支持VGA 24位真彩色显示模式。配备图文信息及三维动画播放软件,可

16、播放高质量的图文信息及三维动画。播放软件显示信息的方式有覆盖、合拢、开帘、色彩交替、放大缩小等十多种形式。使用专用节目编辑播放软件,可通过键盘,鼠标、扫描仪等不同的输入手段编辑、增加、删除和修改文字、图形、图像等信息。编排存于控制主机或服务器硬盘,节目播放顺序与时间,实现一体化交替播放,并可相互叠加。可以接收显示录像机、影碟机等视频信号。2.2.2点阵式LED显示器的工作原理及电路8X8点阵LED结构如下图所示图2-3 LED结构图 从图2-1中可以看出,8X8点阵共需要64个发光二极管组成,且每个发光二极管是放置在行线和列线的交叉点上,当对应的某一列置1电平,某一行置0电平,则相应的二极管就

17、亮;因此要实现一根柱形的亮法,如图49所示,对应的一列为一根竖柱,或者对应的一行为一根横柱,因此实现柱的亮的方法如下所述:一根竖柱:对应的列置1,而行则采用扫描的方法来实现。一根横柱:对应的行置0,而列则采用扫描的方法来实现。2.3计数/分频器CD4017的简介 CD4017是5位Johnson计算器,具有10个译码输出端,CP,CR,INH输入端。时钟输入端的斯密特触发器具有脉冲整形功能,对输入时钟脉冲上升和下降时间无限制。INH为低电平时,计算器在时钟上升沿计数;反之,计数功能无效。CR为高电平时,计数器清零。Johnson计数器,提供了快速操作,2输入译码选通和无毛刺译码输出。防锁选通,

18、保证了正确的计数顺序。译码输出一般为低电平,只有在对应时钟周期内保持高电平。在每10个时钟输入周期CO信号完成一次进位,并用作多级计数链的下级脉动时钟。 CD4017逻辑结构图:图2-4 CD4017 Logic Diagram 逻辑图CD4017引脚图: 图2-5 CD4017的引脚图CD4017引脚功能:CD4017内部是除10的计数器及二进制对10进制译码电路。CD4017有16支脚,除电源脚VDD及VSS为电源接脚,输入电压范围为315V之外,其余接脚为:A、频率输入脚:CLOCK(Pin14),为频率信号的输入脚。B、数据输出脚:a、 Q1-Q9(Pin3,2,4,7,10,1,5,

19、6,9,11),为解码后的时进制输出接脚,被计数到的值,其输出为Hi,其余为Lo 电位。b、CARRY OUT(Pin12),进位脚,当4017计数10个脉冲之后,CARRY OUT将输出一个脉波,代表产生进位,共串级计数器使用。D、 控制脚:a、 CLEAR(Pin15):清除脚或称复位(Reset)脚,当此脚为Hi时,会使CD4017的Q0为”1”,其余Q1-Q9为”0”。b、CLOCK ENABLE(Pin13),时序允许脚,当此脚为低电位,CLOCK输入脉波在正缘时,会使CD4017计数,并改变Q1-Q9的输出状态。图2-6方框图 十进制计数分频器CD4017,其内部由计数器及译码器两

20、部分组成,由译码输出实现对脉冲信号的分配,整个输出时序就是O0、O1、O2、O9依次出现与时钟同步的高电平,宽度等于时钟周期。 CD4017有10个输出端(O0O9)和1个进位输出端O5-9。每输入10个计数脉冲,O5-9就可得到1个进位正脉冲,该进位输出信号可作为下一级的时钟信号。CD4017有3个输(MR、CP0和CP1),MR为清零端,当在MR端上加高电平或正脉冲时其输出O0为高电平,其余输出端(O1O9)均为低电平。CP0和CPl是2个时钟输入端,若要用上升沿来计数,则信号由CP0端输入;若要用下降沿来计数,则信号由CPl端输入。设置2个时钟输入端,级联时比较方便,可驱动更多二极管发光

21、。 由此可见,当CD4017有连续脉冲输入时,其对应的输出端依次变为高电平状态,故可直接用作顺序脉冲发生器。图2-7 CD4017引脚图2.4运算放大器2.4.1 运放的分类及特点运算放大器从诞生至今,已有40多年的历史了。最早的工艺是采用硅NPN工艺,后来改进为硅NPN-PNP工艺(后面称为标准硅工艺)。在结型场效应管技术成熟后,又进一步的加入了结型场效应管工艺。当MOS管技术成熟后,特别是CMOS技术成熟后,模拟运算放大器有了质的飞跃,一方面解决了低功耗的问题,另一方面通过混合模拟与数字电路技术,解决了直流小信号直接处理的难题。论文对集成模拟运算放大器采用工艺分类法和功能/性能分类分类法等

22、两种分类方法,便于读者理解,可能与通常的分类方法有所不同。1)根据制造工艺分类 根据制造工艺,目前在使用中的集成模拟运算放大器可以分为标准硅工艺运算放大器、在标准硅工艺中加入了结型场效应管工艺的运算放大器、在标准硅工艺中加入了MOS工艺的运算放大器。按照工艺分类,是为了便于初学者了解加工工艺对集成模拟运算放大器性能的影响,快速掌握运放的特点。标准硅工艺的集成模拟运算放大器的特点是开环输入阻抗低,输入噪声低、增益稍低、成本低,精度不太高,功耗较高。这是由于标准硅工艺的集成模拟运算放大器内部全部采用NPN-PNP管,它们是电流型器件,输入阻抗低,输入噪声低、增益低、功耗高的特点,即使输入级采用多种

23、技术改进,在兼顾起啊挺能的前提下仍然无法摆脱输入阻抗低的问题,典型开环输入阻抗在1M欧姆数量级。为了顾及频率特性,中间增益级不能过多,使得总增益偏小,一般在80110dB之间。标准硅工艺可以结合激光修正技术,使集成模拟运算放大器的精度大大提高,温度漂移指标目前可以达到0.15ppm。通过变更标准硅工艺,可以设计出通用运放和高速运放。典型代表是LM324。在标准硅工艺中加入了结型场效应管工艺的运算放大器主要是将标准硅工艺的集成模拟运算放大器的输入级改进为结型场效应管,大大提高运放的开环输入阻抗,顺带提高通用运放的转换速度,其它与标准硅工艺的集成模拟运算放大器类似。典型开环输入阻抗在1000M欧姆

24、数量级。典型代表是TL084。在标准硅工艺中加入了MOS场效应管工艺的运算放大器分为三类,一类是是将标准硅工艺的集成模拟运算放大器的输入级改进为MOS场效应管,比结型场效应管大大提高运放的开环输入阻抗,顺带提高通用运放的转换速度,其它与标准硅工艺的集成模拟运算放大器类似。典型开环输入阻抗在1012欧姆数量级。典型代表是CA3140。第二类是采用全MOS场效应管工艺的模拟运算放大器,它大大降低了功耗,但是电源电压降低,功耗大大降低,它的典型开环输入阻抗在1012欧姆数量级。第三类是采用全MOS场效应管工艺的模拟数字混合运算放大器,采用所谓斩波稳零技术,主要用于改善直流信号的处理精度,输入失调电压

25、可以达到 0.01uV,温度漂移指标目前可以达到0.02ppm。在处理直流信号方面接近理想运放特性。它的典型开环输入阻抗在1012欧姆数量级。典型产品是 ICL7650。2)按照功能/性能分类 本分类方法参考了中国集成电路大全集成运算放大器。按照功能/性能分类,模拟运算放大器一般可分为通用运放、低功耗运放、精密运放、高输入阻抗运放、高速运放、宽带运放、高压运放,另外还有一些特殊运放,例如程控运放、电流运放、电压跟随器等等。实际上由于为了满足应用需要,运放种类极多。本文以上述简单分类法为准。 需要说明的是,随着技术的进步,上述分类的门槛一直在变化。例如以前的LM108最初是归入精密运放类,现在只

26、能归入通用运放了。另外,有些运放同时具有低功耗和高输入阻抗,或者与此类似,这样就可能同时归入多个类中。通用运放实际就是具有最基本功能的最廉价的运放。这类运放用途广泛,使用量最大。低功耗运放是在通用运放的基础上大降低了功耗,可以用于对功耗有限制的场所,例如手持设备。它具有静态功耗低、工作电压可以低到接近电池电压、在低电压下还能保持良好的电气性能。随着MOS技术的进步,低功耗运放已经不是个别现象。低功耗运放的静态功耗一般低于1mW。 精密运放是指漂移和噪声非常低、增益和共模抑制比非常高的集成运放,也称作低漂移运放或低噪声运放。这类运放的温度漂移一般低于1uV/摄氏度。由于技术进步的原因,早期的部分

27、运放的失调电压比较高,可能达到1mV;现在精密运放的失调电压可以达到0.1mV;采用斩波稳零技术的精密运放的失调电压可以达到0.005mV。精密运放主要用于对放大处理精度有要求的地方,例如自控仪表等等。 高输入阻抗运放一般是指采用结型场效应管或是MOS管做输入级的集成运放,这包括了全MOS管做的集成运放。高输入阻抗运放的输入阻抗一般大于109欧姆。作为高输入阻抗运放的一个附带特性就是转换速度比较高。高输入阻抗运放用途十分广泛,例如采样保持电路、积分器、对数放大器、测量放大器、带通滤波器等等。高速运放是指转换速度较高的运放。一般转换速度在100V/us以上。高速运放用于高速AD/DA转换器、高速

28、滤波器、高速采样保持、锁相环电路、模拟乘法器、机密比较器、视频电路中。目前最高转换速度已经可以做到6000V/us。宽带运放是指-3dB带宽(BW)比通用运放宽得多的集成运放。很多高速运放都具有较宽的带宽,也可以称作高速宽带运放。这个分类是相对的,同一个运放在不同使用条件下的分类可能有所不同。宽带运放主要用于处理输入信号的带宽较宽的电路。 高压运放是为了解决高输出电压或高输出功率的要求而设计的。在设计中,主要解决电路的耐压、动态范围和功耗的问题。高压运放的电源电压可以高于20VDC,输出电压可以高于20VDC。当然,高压运放可以用通用运放在输出后面外扩晶体管/MOS管来代替。2.4.2 运放的

29、主要参数集成运放的参数较多,其中主要参数分为直流指标和交流指标。其中主要直流指标有输入失调电压、输入失调电压的温度漂移(简称输入失调电压温漂)、输入偏置电流、输入失调电流、输入偏置电流的温度漂移(简称输入失调电流温漂)、差模开环直流电压增益、共模抑制比、电源电压抑制比、输出峰-峰值电压、最大共模输入电压、最大差模输入电压。 主要交流指标有开环带宽、单位增益带宽、转换速率SR、全功率带宽、建立时间、等效输入噪声电压、差模输入阻抗、共模输入阻抗、输出阻抗。1)直流指标输入失调电压VIO:输入失调电压定义为集成运放输出端电压为零时,两个输入端之间所加的补偿电压。输入失调电压实际上反映了运放内部的电路

30、对称性,对称性越好,输入失调电压越小。输入失调电压是运放的一个十分重要的指标,特别是精密运放或是用于直流放大时。对于精密运放,输入失调电压一般在 1mV以下。输入失调电压越小,直流放大时中间零点偏移越小,越容易处理。所以对于精密运放是一个极为重要的指标。输入失调电压的温度漂移(简称输入失调电压温漂)VIO:输入失调电压的温度漂移定义为在给定的温度范围内,输入失调电压的变化与温度变化的比值。这个参数实际是输入失调电压的补充,便于计算在给定的工作范围内,放大电路由于温度变化造成的漂移大小。一般运放的输入失调电压温漂在1020V/之间,精密运放的输入失调电压温漂小于1V/。输入偏置电流IIB:输入偏

31、置电流定义为当运放的输出直流电压为零时,其两输入端的偏置电流平均值。输入偏置电流对进行高阻信号放大、积分电路等对输入阻抗有要求的地方有较大的影响。输入偏置电流与制造工艺有一定关系,其中双极型工艺(即上述的标准硅工艺)的输入偏置电流在10nA1A之间;采用场效应管做输入级的,输入偏置电流一般低于1nA。输入失调电流IIO:输入失调电流定义为当运放的输出直流电压为零时,其两输入端偏置电流的差值。输入失调电流同样反映了运放内部的电路对称性,对称性越好,输入失调电流越小。输入失调电流是运放的一个十分重要的指标,特别是精密运放或是用于直流放大时。输入失调电流大约是输入偏置电流的百分之一到十分之一。输入失

32、调电流对于小信号精密放大或是直流放大有重要影响,特别是运放外部采用较大的电阻。输入失调电流的温度漂移(简称输入失调电流温漂):输入偏置电流的温度漂移定义为在给定的温度范围内,输入失调电流的变化与温度变化的比值。这个参数实际是输入失调电流的补充,便于计算在给定的工作范围内,放大电路由于温度变化造成的漂移大小。输入失调电流温漂一般只是在精密运放参数中给出,而且是在用以直流信号处理或是小信号处理时才需要关注。差模开环直流电压增益:差模开环直流电压增益定义为当运放工作于线性区时,运放输出电压与差模电压输入电压的比值。由于差模开环直流电压增益很大,大多数运放的差模开环直流电压增益一般在数万倍或更多,用数

33、值直接表示不方便比较,所以一般采用分贝方式记录和比较。一般运放的差模开环直流电压增益在 80120dB之间。实际运放的差模开环电压增益是频率的函数,为了便于比较,一般采用差模开环直流电压增益。 共模抑制比:共模抑制比定义为当运放工作于线性区时,运放差模增益与共模增益的比值。共模抑制比是一个极为重要的指标,它能够抑制差模输入=模干扰信号。由于共模抑制比很大,大多数运放的共模抑制比一般在数万倍或更多,用数值直接表示不方便比较,所以一般采用分贝方式记录和比较。一般运放的共模抑制比在80120dB之间。电源电压抑制比:电源电压抑制比定义为当运放工作于线性区时,运放输入失调电压随电源电压的变化比值。电源

34、电压抑制比反映了电源变化对运放输出的影响。目前电源电压抑制比只能做到80dB左右。所以用作直流信号处理或是小信号处理模拟放大时,运放的电源需要作认真细致的处理。当然,共模抑制比高的运放,能够补偿一部分电源电压抑制比,另外在使用双电源供电时,正负电源的电源电压抑制比可能不相同。最大共模输入电压:最大共模输入电压定义为,当运放工作于线性区时,在运放的共模抑制比特性显著变坏时的共模输入电压。最大共模输入电压限制了输入信号中的最大共模输入电压范围,在有干扰的情况下,需要在电路设计中注意这个问题。最大差模输入电压:最大差模输入电压定义为,运放两输入端允许加的最大输入电压差。当运放两输入端允许加的输入电压

35、差超过最大差模输入电压时,可能造成运放输入级损坏。2)主要交流指标开环带宽:开环带宽定义为,将一个恒幅正弦小信号输入到运放的输入端,从运放的输出端测得开环电压增益从运放的直流增益下降3db(或是相当于运放的直流增益的0.707)所对应的信号频率。这用于很小信号处理。单位增益带宽GB:单位增益带宽定义为,运放的闭环增益为1倍条件下,将一个恒幅正弦小信号输入到运放的输入端,从运放的输出端测得闭环电压增益下降 3db(或是相当于运放输入信号的0.707)所对应的信号频率。单位增益带宽是一个很重要的指标,对于正弦小信号放大时,单位增益带宽等于输入信号频率与该频率下的最大增益的乘积,换句话说,就是当知道

36、要处理的信号频率和信号需要的增以后,可以计算出单位增益带宽,用以选择合适的运放。这用于小信号处理中运放选型。转换速率(也称为压摆率)SR:运放转换速率定义为,运放接成闭环条件下,将一个大信号(含阶跃信号)输入到运放的输入端,从运放的输出端测得运放的输出上升速率。由于在转换期间,运放的输入级处于开关状态,所以运放的反馈回路不起作用,也就是转换速率与闭环增益无关。转换速率对于大信号处理是一个很重要的指标,对于一般运放转换速率SR10V/s。目前的高速运放最高转换速率SR达到 6000V/s。这用于大信号处理中运放选型。全功率带宽BW:全功率带宽定义为,在额定的负载时,运放的闭环增益为1倍条件下,将

37、一个恒幅正弦大信号输入到运放的输入端,使运放输出幅度达到最大(允许一定失真)的信号频率。这个频率受到运放转换速率的限制。近似地,全功率带宽=转换速率/2Vop(Vop是运放的峰值输出幅度)。全功率带宽是一个很重要的指标,用于大信号处理中运放选型。建立时间:建立时间定义为,在额定的负载时,运放的闭环增益为1倍条件下,将一个阶跃大信号输入到运放的输入端,使运放输出由0增加到某一给定值的所需要的时间。由于是阶跃大信号输入,输出信号达到给定值后会出现一定抖动,这个抖动时间称为稳定时间。稳定时间+上升时间=建立时间。对于不同的输出精度,稳定时间有较大差别,精度越高,稳定时间越长。建立时间是一个很重要的指

38、标,用于大信号处理中运放选型。等效输入噪声电压:等效输入噪声电压定义为,屏蔽良好、无信号输入的的运放,在其输出端产生的任何交流无规则的干扰电压。这个噪声电压折算到运放输入端时,就称为运放输入噪声电压(有时也用噪声电流表示)。对于宽带噪声,普通运放的输入噪声电压有效值约1020V。差模输入阻抗(也称为输入阻抗):差模输入阻抗定义为,运放工作在线性区时,两输入端的电压变化量与对应的输入端电流变化量的比值。差模输入阻抗包括输入电阻和输入电容,在低频时仅指输入电阻。一般产品也仅仅给出输入电阻。采用双极型晶体管做输入级的运放的输入电阻不大于10兆欧;场效应管做输入级的运放的输入电阻一般大于109欧。共模

39、输入阻抗:共模输入阻抗定义为,运放工作在输入信号时(即运放两输入端输入同一个信号),共模输入电压的变化量与对应的输入电流变化量之比。在低频情况下,它表现为共模电阻。通常,运放的共模输入阻抗比差模输入阻抗高很多,典型值在108欧以上。输出阻抗:输出阻抗定义为,运放工作在线性区时,在运放的输出端加信号电压,这个电压变化量与对应的电流变化量的比值。在低频时仅指运放的输出电阻。这个参数在开环测试。第3章 音频动态声控应用电路的设计3.1音频动态电路的组成音频动态电路主要由模拟电路部分,数字电路部分及LED显示电路组成。模拟电路部分是对音频信号的处理,其中用了741运算放大器,数字部分由计数/分频器40

40、17来完成,最终用LED显示器来显示结果。3.2音频动态声控电路的介绍及工作原理电路原理:IC1、IC2为计数/十进制分频器CD4017,其Q0-Q5共个计数输出端分别用来驱动每一只发光管。显示部分共有99只LED组成,分别按9行、9列排列构成显示阵列。每行LED的正极分别对应连接IC1的Q0-Q8端,每列LED的负极分别与IC2的Q0-Q8端的三极管T1-T9相连接。当IC1-IC2的某个输出端为高电平时,其行列交叉点的LED便指示发光。随着IC1-IC2的高电平端不断变化,发光点也不断跳动。由于在每一时刻IC1、IC2各有一个输出端为高电平,因此本显示阵列在任一时刻只有一只LED被点亮,所

41、以本电路功耗较低。图3-1 音频动态声控电路 图中IC3的两只运放分别对来自左右声道的信号进行放大,其输出信号幅度可驱动IC1、IC2两只计数器正常计数。该放大电路的增益可由一个双连电位器W1、W2调节。只有当IC1、IC2的音频驱动信号电平超过阈值电平时才能触发IC1、IC2正常计数,因此音频信号的微小变化不能驱动计数器。由于显示器中LED的移动速率与音频频率大致相同,若嫌LED移动速度太快,可在IC1、IC2后插入分频器即可。连接于IC1、IC2复位端的开关K可以对显示器工作模式作相应变换。闭合K时,LED总是从坐标(0,0)开始移动,一旦某一计数器的Q8输出高电平后,显示又重新从坐标(0

42、,0)开始,这种显示模式便帮助人们了解两声道音频变化情况。断开K后,两只IC按其各自驱动频率工作,失去了同步,跳动的LED在显示阵列中“漫游”第4章 电路的调试与结果第4章 电路的调试与实验结果4.1 电路的调试在实验的过程中分别对741运放,计数/分频器CD4017,点阵式LED显示器进行调试。所有放大器和集成芯片都能正常工作,LED显示器的每个显示点都是完好的,可以进行下一步的实验。4.2 实验结果及分析图4-1 设计系统面包板插图开始,调试工作进行的并不顺利,对电路研究的不够仔细,接地问题、线路的连接问题等,导致电路无法工作,在设计的时候不可能周全地考虑元件的误差、器件参数的分散性、寄生

43、参数等各种各样的客观因素。此外,电路的接线仍存在有可能没有查出来的错误。电路不能全部完成设计的要求,有先LED显示器还是不能正常工作。由于时间问题不能再对电路板整体测试与调整,来发现和纠正设计方案中的不足,并查出电路安装中的错误然后采取措施加以改进和纠正,现在只能初步的完成设计的技术要求。第5章 结论与展望第5章 结论与展望致 谢第5章 结论与展望从整个设计过程和设计方案可以看出,此方案无论是基本原理、使用到的芯片的复杂度和各种元器件的数量,还是最后的连线布局,都是非常浅显易懂的。可以说就熟悉元件、芯片属性,深入领会理论知识,学会运用常用器件制作实用小电路,提高个人动手实践能力,学会实际电子产

44、品的设计流程而言,此设计已经达到了目标。掌握了音频动态声控电路的设计,掌握了CD4017计数/分频器的原理。通过实践不但巩固了学过的知识,而且其他的对所学知识进行实践论证,及时的发现了存在的许多不足。按照电路图接好后,总是不能出现理想的效果,经过反复的接线, 将坏的元件和坏的插孔去除后,才最终达成。通过这次的设计,让我知道,电路设计中,耐心是很重要的,在一次次失败后,不能气馁,将繁琐的接线进行到底。当然,不能盲目的接线,首先要分析接线是否正确,然后在分析是元件还是插孔有问题,遇见问题一步步解决,多查资料,多和老师沟通。由于时间、硬件条件等关系,没有做成PCB板子。致 谢本毕业设计是在夏建生老师

45、的细心指导下顺利完成的。夏老师在学术上的高深造诣、学风上的严谨求实和认真负责以及诲人不倦的高尚品德,使我受益匪浅。不管他再忙,都会抽时间来指导我的毕业设计,每当打电话询问问题时,他总是耐心的听取,并为我提出解决问题的方案。他乐观的性格,爽朗的声音,慈祥的面容同样让我感受颇深。通过这次的毕业设计,回顾了以前所学的知识,并了解了许多以前所未学过的知识。这对我来说是一次很好的再学习的机会,而且对今后的学习或工作,都是有一定的帮助的。感谢学校的培养,感谢学校提供了良好的试验台和实验设备。学校老师孜孜不倦的教导,同学间的团结互助,这良好的学习氛围,使我更加顺利的完成了设计。外文翻译参考文献1. 杨栓科.

46、 模拟电子技术基础M. 北京:高等教育出版社 2007年5月.2. 张克农. 数字电子技术基础M. 北京:高等教育出版社 2004年5月.3 陈伟鑫:新型实用电路精选指南,电子工业出版社.4. 林庭双. Protel DXP 电子电路设计精彩范例M. 北京:机械工业出版社,2005年1月.5.赵文博. 新型集成电路速查手册M. 北京:人民邮电出版社,2006年1月.6 童诗白:模拟电子技术基础,高等教育出版社 2000.3.7. 卢结成,陈力生,田红民等. 电子电路实验及应用课题设计M. 合肥:中国科学技术大学出版社,2002年.8. 王有绪主编数字电路与逻辑设计M 西安:西北工业大学出版社,2003年9Jacob Microelectronics:Digital and Analog Circuits and Systems.McGraw-Hill, 1985.

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 其他


经营许可证编号:宁ICP备18001539号-1