通信原理课程设计-基于VHDL的HDB3码实现.doc

上传人:韩长文 文档编号:5027669 上传时间:2020-01-29 格式:DOC 页数:24 大小:471KB
返回 下载 相关 举报
通信原理课程设计-基于VHDL的HDB3码实现.doc_第1页
第1页 / 共24页
通信原理课程设计-基于VHDL的HDB3码实现.doc_第2页
第2页 / 共24页
通信原理课程设计-基于VHDL的HDB3码实现.doc_第3页
第3页 / 共24页
通信原理课程设计-基于VHDL的HDB3码实现.doc_第4页
第4页 / 共24页
通信原理课程设计-基于VHDL的HDB3码实现.doc_第5页
第5页 / 共24页
点击查看更多>>
资源描述

《通信原理课程设计-基于VHDL的HDB3码实现.doc》由会员分享,可在线阅读,更多相关《通信原理课程设计-基于VHDL的HDB3码实现.doc(24页珍藏版)》请在三一文库上搜索。

1、通信原理课程设计报告 题 目 基于VHDL的HDB3码实现 学院(部) 电子信息工程学院 专 业 通信工程(本) 学生姓名 * 学 号 2008* 年级 2008 指导教师 * 职称 讲师 二O一0年 十二 月目 录摘 要2第一章 EDA辅助设计工具的介绍31.1 FPGA的介绍31.2 VHDL语言和QUARTUS3 1.2.1 VHDL语言3 1.2.2 EDA工具QUARTUS3第二章 HDB3码编码器的建模与实现52.1 HDB3码编码规则52.2 基于VHDL的编码器的建模及实现6 2.2.1 编码器的VHDL建模及难点分析6 2.2.2 基于VHDL编码器的实现72.3编码中单/双

2、极性转换的实现11 2.3.1单/双极性转换的流程图11 2.3.2单/双极性变换的VHDL实现132.4 HDB3码编码器的波形仿真及分析14结论与心得16参考文献17附 录 HDB3码编码器完整源程序18 摘 要 本文以FPGA为硬件平台,基于EDA工具QUARTUS为软件平台上对HDB3编码进行实现。通过本课程设计对EDA工具软件QUARTUS有一个初步的认识,并熟悉其基本的编译仿真等功能。由于在EDA的软件平台QUARTUS上不能处理双极性的信号,因此对HDB3码的编码采用双相码代替原代码,编码部分分为加V、加B以及单/双极性变换三个部分;QUARTUS的平台上对输入的码元进行编码、系

3、统仿真,验证了HDB3码的编码的正确性。关键词:HDB3;VHDL;编码;QUARTUS第一章 EDA辅助设计工具的介绍1.1 FPGA的介绍 FPGA是20世纪80年代中期,美国Altera公司推出一种现场可编程门阵列,其结构主要分为三部分:可编程逻辑单元,可编程输入输出单元和可编程连线部分。FPGA器件采用逻辑单元阵列结构和静态随机存取存储器工艺,设计灵活,集成度高,可利用计算机辅助设计,绘出实现用户逻辑原理图、用硬件描述语言等方式设计输入;然后经一系列转换程序、自动布局布线、模拟仿真的过程;最后生成配置FPGA器件的数据文件,对FPGA器件初始化。这样实现了满足用户要求的专用集成电路,真

4、正达到了用户自行设计、自行研制和自行生产集成电路的目的。概括来说,FPGA器件具有下列优点:高密度、高效率、系列化、标准化、小型化、多功能、低功耗、低成本、设计灵活方便,可无限次反复编程,并可现场模拟调试验证。使得使用FPGA器件,一般可在几天到几周内完成一个电子系统的设计和制作,可以缩短研制周期,达到快速上市和进一步降低成本要求。1.2 VHDL语言和QUARTUS1.2.1 VHDL语言常用的硬件描述性语言有VHDL和ABEL语言。VHDL语言起源于美国国防部的VHSIC,VHDL是一种高级描述语言,适用于行为级的描述。VHDL语言具有以下的优点:1 计方法灵活、支持广泛 VHDL语言可以

5、支持自顶至下的设计方法,而且还支持同步电路、异步电路、FPGA设计。其范围之广是其它方法所不能比拟的。目前大多数EDA工具几乎都支持VHDL语言。这给VHDL语言进一步推广和应用创造了良好的环境。2 统硬件描述能力强 VHDL语言具有多层次描述系统硬件功能的能力,可以从系统的框图直接到门级电路。1.2.2 EDA工具QUARTUSQUARTUS软件是美国Altera公司为SOPC(系统级可编程芯片) 提供最全面的设计平台。它具有比起其他的编译软件,具有以下的优点:开放的界面QUARTUS II软件可与其它EDA厂家的设计输入、综合、验证工具相连接。设计人员可使用ALTERA或标准EDA设计输入

6、工具建立电路设计,使用QUARTUSII编译器对ALTERA的器件进行编译,然后使用ALTERA或其他标准EDA验证工具进行验证。QUARTUS II系统的核心编译器支持ALTERA公司的FLEX10K, FLEX6000, MAX7000等可编程逻辑器件系列,除了MAX+PLUS II以外惟一真正与结构无关的可编程逻辑设计环境。QUARTUS的编译器还提供了强大的逻辑综合与优化功能,使设计人员能比较容易地将其设计集成到可编程逻辑器件中。2.接受高级描述语言QUARTUS II接受多种硬件描述语言,包括VHDL、AHDL、C、C+等语言。3.良好的人机界面QUARTUS具有比MAX+PLUS更

7、加人性化的人机界面,方便工程人员的操作。基于上述的特点,本文采用QUARTUS软件对HDB3编、译码的仿真验证。第二章 HDB3码编码器的建模与实现2.1 HDB3码编码规则在现实的通信系统中,为了满足基带传输的要求,单极性脉冲序列必须经过适当的基带编码,以保证传输码型中无直流分量,有一定的自检能力和适应不同信源的统计特性的能力。在基带传输中,常用的码型有AMI码、HDB3码等。其中,AMI码是将输入单极性波形的所有正脉冲变为适合于在信道传输的正负极性交替的脉冲,而HDB3码则是在AMI码基础上改进的一种双极性归零码,它除具有AMI码功率谱中无直流分量,可进行差错自检等优点外,还克服了AMI码

8、当信息中出现连“0” 码时定时提取困难的缺点,同时HDB3码占用频带较窄。因此要了解HDB3码的编码规则,首先要知道AMI码的构成规则,AMI码就是把单极性脉冲序列中相邻的“1”码(即正脉冲)变为极性交替的正、负脉冲。将“0”码保持不变,把“1”码变为+1、-1交替的脉冲。如:表2.1 HDB3码编码规则代码串1001101111100010 10 AMI+1000010000+110000+10加V+1000+V1000V+11000V+10加B+1000+V1000V+11+B00V+10HDB3+1000+110001+11+1001+10HDB3码是一种AMI码的改进型,它的编码过程为

9、:没有4个或4个连“0”串时,HDB3编码规律与AMI码相同,即“1”码变为“+1”、 “-1”交替脉冲。当代码序列中出现4个或4个以上连“0”串时,则将每4个连“0”小段即“0000”的第4个0变换成与前一非“0”符号(+1或-1)同极性的符号,用破坏符号V表示(即+1记为+V,-1记为-V)。为了使附加V符号后的序列不破坏“极性交替反转”造成的无直流特性,还必须保证相邻V符号也应极性交替。这一点,当相邻V符号之间有奇数个非0符号时,则是能得到保证,当有偶数个非0符号时,则就得不到保证,这时再将该小段的第一个0变换成+B或-B,B符号的极性与前一非0符号的极性相反,并让后面的非0符号从V符号

10、开始再交替变换。2.2 基于VHDL的编码器的建模及实现2.2.1 编码器的VHDL建模及难点分析从编码规则来分析,HDB3码的编码器的设计的难点是在于如何判决是否应该添加符号“B”在实际的电路中,可以考虑用寄存器的方法,首先把信码寄存在寄存器里,同时设置一个计数器计算两个“V”之间“1”的个数,经过4个码元时间后,有一个判偶电路来给寄存器发送是否添加符号“B”的判决信号,从而实现添加符号“B”功能。为了减少资源的消耗和电路的复杂度,在对信号进行HDB3码编码时,先依据HDB3码的编码规则进行添加破坏符号“V”然后进行添加符号“B”操作,最后才进行单极性信号变成双极性信号的转换。HDB3码的编

11、码模型如图2.1所示。添加破坏节V添加符号B单/双极变换换数据输入 HDB3码图 2.1 HDB3码编码器模型如图所示:整个HDB3码的编码器包括3个功能部分:添加破坏节“V”、添加符号“B”和单极性码转变成双极性码,各部分之间采用同步时钟作用。 不过,信号处理的顺序不能像编码规则那样:首先把代码串变换成为AMI码,完成添加破坏节“V”、符号“B”工作之后,其后的“+1”和“-1”的极性还要依据编码规则的规定变换。这样做需要大量的寄存器,同时电路结构也变得复杂。因此本设计在此处把信号处理的顺序变换一下:首先完成添加破坏符号“V”工作,接着执行添加符号“B”功能,最后实现单极性变双极性的信号输出

12、。这样做的好处是输入进来的信号和添加破坏符号“V”、添加符号“B”功能电路中处理的信号都是单极性信号,且需要的寄存器的数目可以很少。另外,如何准备识别电路中的“1”、“V”和“B”,这也是一个难点,因为“V”和“B”符号是人为标识的符号,但在电路中最终的表示形式还是逻辑电平“1”,同时QUARTUS软件也不能像HDB3码的编码规则那样把代码串变换成AMI码,这是因为QUARTUS软件不能处理带负号的信号,因此在软件中本设计还是利用双相码来表示。双相码的编码规则如下:对每个二进制代码分别利用两个不同相位的二进制代码去取代。例如:表2.2 双相码的编码规则信息序列11001100111双相码101

13、00101101001011010102.2.2 基于VHDL编码器的实现1. 添加破坏符号“V”的实现添加破坏符号“V”模块的功能实际上就是对消息代码里的四个连0串的检测,即当出现四个连0串的时候,把第四个“0”变换成符号“V”(“V”可以是逻辑电平“1”),而在其他的情况下,则保持消息代码的原样输出,同时为了区别代码“1”、 “V”和“0”,在添加破坏符号“V”时,用“11”标识符号“V”,用“01”标识符号“1”,用“00”标识符号“0”。如表2.3所示:表2.3 标识符代替代码规则代码01V标识符000111因此,添加破坏符号“V”的设计思想如下:首先判断输入的代码是什么,如果输入的符

14、号是“0”码,则接着判断这是第几个“0”码,如果是第四个“0”码,则把这个“0”码变换成“V”码。在其他的情况下,让原码照常输出。程序流程图如图2.2所示:其中: COUNT0是作为连0的计数器。 COUNT0_S是四个连“0”状态寄存器。1表示遇到四个连0,0表示未遇到四个连0的状态。开始CODEIN_V=0? NCOUNT0=0COUNT0_S=0COUNTOUT_V=“01” YCOUNT0_S=0COUNTOUT_V=“00”COUNT0= COUNT0+1COUNT0=3? N YCOUNT0=0COUNT0_S=1COUNTOUT_V=“11” 结束图2.2 添加破坏符号“V”符号

15、流程图根据图2.2的思想,完成HDB3码编码的程序实现添加破坏符号“V”功能的程序,以下给出实现添加破坏符号“V”功能的关键代码,具体程序见附录一。ADD_V: PROCESS(CLK,CLR) -添加破坏符号V程序 BEGIN IF(CLKEVENT AND CLK=1)THEN IF(CLR=1)THEN CODEOUTV=00; COUNT0CODEOUTV=01; -01表示1 COUNT0 IF(COUNT0=3)THEN COUNT0_S=1; CODEOUTV=11; COUNT0=0; END PROCESS ADD_V; S0(0)=CODEOUTV(0); S1(0)=CO

16、DEOUTV(1);信息序列: 10000100001100011添加破坏符号V后:0100000011010000001101010000000101此添加破坏符号“V”功能程序设计了一个计数器COUNT0,用来作为应添加破坏符号“V”符号的标志。假设输入某信息序列,根据设计思想,输入代码一添加破坏符号“V”后的关系如下:信息序列: 10000100001100011添加破坏符号V后:01000000110100000011010100000001012.添加符号“B”的实现根据HDB3码的编码规则可知:添加破坏符号“V”模块的是为了保证附加“V”符号后的序列不破坏“极性交替反转”造成的无直

17、流特性,即当相邻“V”符号之间有偶数个非0符号的时候,把后一小段的第一个“0”变换成一个非破坏符号“B”符号。如图2.3所示。其中: FIRSTV作为前面是否出现“11”即符号“V”的标志位,其中0表示前面没有出现V,1表示前面已经出现过符号V。 COUNT1作为记非0符号的奇偶数,其中0表示为偶数,1表示为奇数。 FIRST_1遇1状态寄存器,1表示前面遇到过1,0表示没有遇到过。表2.4标识符代替代码规则代码01VB标识符00011110开始CODEOUT_V=11CODEOUT_V=00CODEOUT_V=01FIRSTV=0? NCOUNT1=0?COUNT1=0?FIRST1=1?Y

18、COUNT0-S=1?COUNT1=COUNT1 N N N N结束CODEOUT_B=S1(4)&S0(4)S1(4)=s1(3)S0(4)=s0(3)S1(4)=s1(3)S0(4)=s0(3)S1(4)=s1(3)S0(4)=s0(3)S1(4)=s1(3)S0(4)=s0(3)S1(4)=1S0(4)=0S1(4)=s1(3)S0(4)=s0(3)S1(4)=1S0(4)=0S1(4)=s1(3)S0(4)=s0(3)FIRST1=1FIRST1=1FIRST1=1FIRST1=1FIRSTv=1COUNT1=0COUNT1=1COUNT1=0COUNT1=0COUNT1=0COUNT

19、1=0COUNT1=0 Y Y Y图2.3 添加符号“B”符号流程图如图2.3所示可知,如何确定是“1”,还是“V”,是本课程设计所遇到的第一个难点。本程序处理难点的思路是:先把码元(经过添加破坏符号“V”处理过的)放入一个四位的移位寄存器中,在同步脉冲(时钟信号)的作用下,同时进行是否添加符号“B”的判决,等到码元从移位寄存器里出来的时候,就可以决定是应该变换成“B”符号,还是照原码输出。因此,在程序的结构中进行元件声明,调用库里的D触发来实现延迟作用。为了使程序的流程更加清晰,用了四个元件例化语句DFFX:DFF PORT MAP(),来说明信号的流向。以下给出添加符号“B”模块的部分程序

20、,完整的程序见附录。DS11: DFF PORT MAP(S1(0),CLK,S1(1); DS01: DFF PORT MAP(S0(0),CLK,S0(1); DS12: DFF PORT MAP(S1(1),CLK,S1(2); DS02: DFF PORT MAP(S0(1),CLK,S0(2); DS13: DFF PORT MAP(S1(2),CLK,S1(3); DS03: DFF PORT MAP(S0(2),CLK,S0(3); -调元件DFF,即D触发器 BCLK: CLKB=NOT CLK; ADD_B: PROCESS(CLKB) BEGIN IF(CLKBEVENT

21、AND CLKB=1)THEN IF(CODEOUTV=11)THEN ELSIF(CODEOUTV=01)THEN IF(COUNT1=0)THEN FIRST_1=1; COUNT1=1; S1(4)=S1(3); S0(4)=S0(3); ELSE S1(4)=S1(3); S0(4)=S0(3); END IF; ELSE COUNT1=COUNT1; S1(4)=S1(3); S0(4)=S0(3); END IF;END IF; END PROCESS ADD_B; CODEOUTB=S1(4)&S0(4);2.3编码中单/双极性转换的实现2.3.1单/双极性转换的流程图根据HDB

22、3码的编码规则,可知 “V”的极性是正负交替变换的,而余下的“1”和“B”本课程设计把其看成为一体且是正负交替变换的,同时满足“V”的极性与前面的非零码极性一致。由此本课程设计就把“1”和“B”看成一组,而“V”单独作为一组来做正负交替变换。由此可得到程序流程图如图2.4、图2.5、图2.6所示。开始CODEOUTB=01 ORCODEOUTB=10接CODEOUTB=11和CODEOUTB=00FLAGOB=2?FLAGOB=1?FLAGOB=0?N N N Y Y YFLAGOV=2?FLAGOV=1?FLAGOV=0? N N Y Y Y Y YFLAGOB=1FLAGOB=2FLAGO

23、B=1FLAGOB=2FLAGOB=1 CODEOUT=01CODEOUT=11CODEOUT=01CODEOUT=11CODEOUT=01结束图2.4 单双极性变换控制的程序流程图“01”和“10”部分接CODEOUTB=11和CODEOUTB=00CODEOUTB=11FLAGOV=2?FLAGOV=1?FLAGOV=0?N N N Y Y YFLAGOB=2?FLAGOB=1?FLAGOB=0?N N FLAGOV=1FLAGOV=2YY YCODEOUT=01CODEOUT=11FLAGOV=2FLAGOV=1FLAGOV=1CODEOUT=11CODEOUT=01CODEOUT=01

24、结束图2.5 单双极性变换控制的程序流程图“11”部分接CODEOUTB=11和CODEOUTB=00FLAGOB=FLAGOBFLAGOV=FLAGOVCODE=00结束图2.6单双极性变换控制的程序流程图“00”部分2.3.2单/双极性变换的VHDL实现以下是部分实现单双极性变换控制功能的关键代码,具体程序见附录。 IF(CLKEVENT AND CLK=1)THEN IF(CODEOUTB=01) OR (CODEOUTB=10)THEN -1/B IF(FLAGOB=0)THEN IF(FLAGOV=0)THEN CODEOUT=01; FLAGOB=1; ELSIF(FLAGOV=1

25、)THEN CODEOUT=11; FLAGOB=2; ELSIF(FLAGOV=2)THEN CODEOUT=01; END IF; ELSIF(FLAGOB=1)THEN CODEOUT=11; FLAGOB=2; ELSIF(FLAGOB=2)THEN CODEOUT=01; FLAGOB=1; -判01/10END IF; ELSIF(CODEOUTB=11)THEN IF(FLAGOV=0)THEN ELSIF(FLAGOV=1)THEN ELSIF(FLAGOV=2)THEN CODEOUT=01; FLAGOV=1; -判V -FLAGOV/FLAGOB:0表示还未遇到V/B,1

26、表示遇到奇数个V/B,2表示遇到偶数个V/B ELSE CODEOUT=00; FLAGOB=FLAGOB;FLAGOV=FLAGOV; END IF; END IF; END PROCESS OUTPUT;END ARCHITECTURE RTL;2.4 HDB3码编码器的波形仿真及分析图2.7 输入全 “0”时编码输出图2.8 输入全“1”时编码输出图2.9 输入“000000000000001000000”时编码输出图2.10 输入“100001000011000011000010” 时编码输出由仿真波形可以得出:CODEIN: 11111111111111111111 CODEOUT:

27、0111011101110111011101110111011101110111CODEIN: 00000000000001000000CODEOUT:01000001110000110100000100110000000110100CODEIN: 100001000011000011000010CODEOUT:010000000111000000110111010000011101110000110100结论与心得本课程设计采用FPGA为硬件平台,以美国Altera公司的QUARTUS为软件平台,根据HDB3码的编译码原理,基于VHDL硬件描述性语言,采用“至顶向下”的方法来对HDB3码进行

28、建模。此种设计方法就是把一个复杂的系统分成几个部分,再把每部分划分成若干子模块,各模块独立进行设计,采用这种模块化设计,有利于提高工作效率。同时在系统仿真校验时,若发现不符合要求,只要查找出有问题的模块,修改一次,则使该系统有问题的模块得到更正,从而解决了由此模块产生的系统错误。本课程设计采用以FPGA为硬件平台,基于VHDL语言对HDB3码的编码的实现具有如下的优势: 使用VHDL语言对HDB3码的编码,相对于采用硬件电路来实现,可以对其采用模块化的设计,简化了系统设计的难度,降低了工程人员的工作强度。 可以在VHDL的软件QUARTUS上对HDB3码的编码代码进行调试,正确后才下载到硬件平

29、台上,节省了系统开发的成本。通过本次课程设计不仅对FPGA的硬件描述语言有了进一步的认识和了解,而且认识并熟练掌握了QUARTUS软件的使用。本次课程设计从选题、规划设计思路、设计模块到仿真实现使我们对设计有了一个系统的概念,并规范了我们的设计思路。参考文献1 樊昌信、张甫翎通信原理国防工业出版社,2005.52 谭会生、张昌凡EDA技术及应用.西安科技大学出版社,200443 童诗白、华成英模拟电子技术基础.高等教育出版社,200344 黄葆华 杨晓静 牟华坤.通信原理.西安电子科技大学出版社.20075 潘松 黄继业EDA技术实用教程科学出版社20056 潘松 黄继业EDA技术与VHDL清

30、华大学出版社20098 王开军,姜宇柏等.面向CPLD/FPGA的VHDL设计.北京:机械工业出版社,2007附录 HDB3码编码器完整源程序-本程序在添加破坏符号V时用11表示V,00表示0,01表示1-添加符号B时用00表示0,01表示1,10表示B-最终输出时是以11表示+1,00表示-1,10表示0LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY ENHDB3 IS PORT(CODEIN : IN STD_LOGIC; CLK : IN STD_LOGIC; CLR : IN STD_LOGIC; CODEOUT : OUT STD_LO

31、GIC_VECTOR(1 DOWNTO 0);END ENTITY ENHDB3;ARCHITECTURE RTL OF ENHDB3 IS SIGNAL CODEOUTV: STD_LOGIC_VECTOR(1 DOWNTO 0); SIGNAL COUNT0: INTEGER:=0; SIGNAL S0: STD_LOGIC_VECTOR(4 DOWNTO 0):=00000; SIGNAL COUNT1: INTEGER RANGE 1 DOWNTO 0;-0表示在V之间有偶数个1,1表示在V之间有奇数个1 SIGNAL CODEOUTB: STD_LOGIC_VECTOR(1 DOWN

32、TO 0); SIGNAL S1: STD_LOGIC_VECTOR(4 DOWNTO 0):=00000; SIGNAL CLKB: STD_LOGIC; SIGNAL S3: STD_LOGIC_VECTOR(1 DOWNTO 0); SIGNAL FLAGOB: INTEGER RANGE 2 DOWNTO 0; SIGNAL FLAGOV: INTEGER RANGE 2 DOWNTO 0; SIGNAL FIRSTV: INTEGER RANGE 1 DOWNTO 0; SIGNAL FIRST_1: STD_LOGIC; SIGNAL COUNT0_S:STD_LOGIC; COM

33、PONENT DFF -调元件DFF,即D触发器 PORT(D: IN STD_LOGIC; CLK: IN STD_LOGIC; Q: OUT STD_LOGIC); END COMPONENT DFF; BEGIN ADD_V: PROCESS(CLK,CLR) -添加破坏符号V程序BEGIN IF(CLKEVENT AND CLK=1)THEN IF(CLR=1)THEN CODEOUTV=00; COUNT0CODEOUTV=01; -01表示1 COUNT0 IF(COUNT0=3)THEN COUNT0_S=1; CODEOUTV=11; COUNT0=0; ELSE COUNT0_S=0; COUNT0=COUNT0+1; CODEOUTV CODEOUTV=00; COUNT0=COUNT0;

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 研究报告 > 商业贸易


经营许可证编号:宁ICP备18001539号-1