计数器7段数码管控制接口技术课程设计报告.pdf

上传人:tbuqq 文档编号:5230450 上传时间:2020-02-27 格式:PDF 页数:15 大小:266.92KB
返回 下载 相关 举报
计数器7段数码管控制接口技术课程设计报告.pdf_第1页
第1页 / 共15页
计数器7段数码管控制接口技术课程设计报告.pdf_第2页
第2页 / 共15页
计数器7段数码管控制接口技术课程设计报告.pdf_第3页
第3页 / 共15页
计数器7段数码管控制接口技术课程设计报告.pdf_第4页
第4页 / 共15页
计数器7段数码管控制接口技术课程设计报告.pdf_第5页
第5页 / 共15页
点击查看更多>>
资源描述

《计数器7段数码管控制接口技术课程设计报告.pdf》由会员分享,可在线阅读,更多相关《计数器7段数码管控制接口技术课程设计报告.pdf(15页珍藏版)》请在三一文库上搜索。

1、1 EDA 技术综合设计 课程设计报告 报 告题 目:计数器7 段数码管控制接口技术 作者所在系部: 作者所在专业: 作者所在班级: 作 者 姓 名 : 作 者 学 号 : 指导教师姓名: 完 成 时 间 : 内容摘要 掌握 VHDL 语言基本知识,并熟练运用VHDL 语言来编写程序,来 下载实践到硬件上, 培养使用设计综合电路的能力,养成提供文档资料的 习惯和规范编程的思想。利用VHDL 语言设计一个七段数码管控制引脚, 在时钟信号的控制下,使6 位数码管动态刷新显示十进制计数器及其进 位,十二进制计数器,四位二进制可逆计数器,六十进制计数器的计数结 果,这期间需要seltime 分频器来动

2、态的给各个计数器分配数码管,并显 示数字的变化。 关键词: VHDL 语言编程七段数码管控制引脚芯片 目录 一 概述1 二 方案设计与论证1 三 单元电路设计与参数计算1 3.1数码管译码器1 3.2 十进制计数器2 3.3 六十进制计数器3 3.4 四位二进制可逆计数器 5 3.5时间数据扫描分时选择模块 6 3.6顶层文件 8 四 总的原理图9 五 器件编程与下载9 六 性能测试与分析(要围绕设计要求中的各项指标进行) 10 七 实验设备10 八 心得体会10 九 参考文献10 课程设计任务书 课题 名称 7 段数码管控制引脚 完成 时间 2011. 12.12 指导 教师 胡辉职称副教授

3、 学生 姓名 庄仲班级B09212 总体设计要求和技术要点 通过本课程的学习使学生掌握可编程器件、EDA开发系统软件、硬件描述语言和电子 线路设计与技能训练等各方面知识;提高工程实践能力;学会应用EDA 技术解决一些简单 的电子设计问题。 具体要求: 1设计一个共阴7 段数码管控制接口,在硬件时钟电路的基础上,采用分频器,输 出一个 1S 的时钟信号,同时显示2、3、4 所要求的计数器。 2设计一个带使能输入、进位输出及同步清0 的增 1 十进制计数器。 3设计一个带使能输入及同步清0 的六十进制同步加法计数器; 4设计一个四位二进制可逆计数器; 工作内容及时间进度安排 第 16 周: 周一、

4、周二:设计项目的输入、编译、仿真 周三:器件编程下载与硬件验证 周四:成果验收与总结 周五:撰写课程设计总结报告 课程设计成果 把编写好的程序下载到试验箱,使数码管能够按照编写的程序显示出正确的 结果,实验成功。 一、概述 设计一个共阴7 段数码管控制接口,在硬件时钟电路的基础上,采用分频器,输 出一个 1S 的时钟信号。一个带使能输入、进位输出及同步清0 的增 1 十进制计数器。 一个带使能输入及同步清0 的六十进制同步加法计数器和一个四位二进制可逆计数 器。要求:在时钟信号的控制下, 使 6 位数码管动态刷新显示上述计数器的计数结果。 二、方案设计与论证 首先 VHDL 语言编写底层文件和

5、三个计数器的程序, 再编写分频器和数码管的程序, 最后用例化语句编写顶层文件,分别都调试在确认无误后把顶层文件下载到试验箱, 连接导线,完成后实现在时钟信号的控制下,6 位数码管动态刷新显示三个计数器的 技术结果。 三、单元电路设计 1. 数码管译码器程序 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity deled is port(num:in std_logic_vector(3 downto 0); led:out std_logic_vector(6 downto 0);

6、 end deled; architecture fun of deled is begin - abcdefg led=“101“)then countdaoutdaout(3 downto 1)daoutdaoutdaoutseltime_out,led=ledout); u2:cnt10 port map(clk=clk,clr=clr,en=en,co=cnt10_co,q=cnt10_out); u3:cnt60 port map(clk=clk,clr=clr,en=en,q=cnt60_out); u4:kn4 port map(clk=clk,clr=clr,plus_sub=

7、plus_sub,q=cnt16_out); u5:seltime port map(clk=clkdsp,reset=clr,ci=cnt10_co,cnt60=cnt60_out, kn4=kn4_out,cnt10=cnt10_out, daout=seltime_out,dp=dpout,sel=sel); end one; 四、总的原理图 五、器件编程与下载 用 VHDL 语言编译四种计数器的、 译码器和分时器的程序, 然后把他们用例化 语句编译成顶层文件, 仿真成功以后下载到试验箱通过数码管显示出结果,试验成功。 六、性能测试与分析 各个程序编译完成以后,通过波形图检测程序的正确性

8、,当源程序en=1 时 程序才能运行,当clr=1时清零,等于零时工作,在两个都工作的情况下在clk 的上 升沿时数据进位, clk=0 时保持,等于1 时运行。如果程序按以上所说正常循环说明 程序正确。 七、实验设备 计算机一台, EDA 实验箱一台,下载电缆一根,导线若干。 八、心得体会 通过一周的 EDA课设实践, 虽然时间不是很长, 但是我学到了很多。 通过实践让我 能够熟练的运用 VHDL 语言和 Quartus软件,发现这个软件功能十分的强大,而且用 途也很广。 在实践中我们遇到了挺多的困难, 在开始的程序编写中其实还挺顺利的,但是把它 编写到 EDA试验箱中让它通过数码管显示出结

9、果时,事实并不是想象中的那样,通过 多次的更改和实验,最终实验成功。到最后找到失败的原因时让我们都感到很惊讶, 它仅仅是一个让我们所有人都忽略的小小的细节,这也让我明白了一个道理,做任何 事,不管大小都要认真仔细,要集中百分之百的注意力在上面,保证没有一丝遗漏, 才能把事情做好。还有在遇到困难时要有不抛弃不放弃的劲头,要相信在任何时候办 法总比困难多。这次实践让我收获很多,为我以后的学习打下了坚实的基础,也为我 以后的学习生活增添的信心。 九、参考文献 1. 李国洪,胡辉,沈明山 EDA技术与实验机械工业出版社 2徐惠民,安德宁数字逻辑设计与VHDL 描述机械工业出版社 3蒋璇,臧春华数字系统设计与PLD 应用技术电子工业出版社 4陈赜,朱如琪在系统可编程技术实践教程科学出版社 5 赵曙光,郭万有等可编程逻辑器件原理、开发与应用西安电子 科技大学出版 指 导 教 师 评 语 及 设 计 成 绩 评语 课程设计成绩: 指导教师: 日期:年月日

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 其他


经营许可证编号:宁ICP备18001539号-1