硬件工程师面试题集(含答案-很全).pdf

上传人:tbuqq 文档编号:5386214 上传时间:2020-04-28 格式:PDF 页数:26 大小:2.07MB
返回 下载 相关 举报
硬件工程师面试题集(含答案-很全).pdf_第1页
第1页 / 共26页
硬件工程师面试题集(含答案-很全).pdf_第2页
第2页 / 共26页
硬件工程师面试题集(含答案-很全).pdf_第3页
第3页 / 共26页
硬件工程师面试题集(含答案-很全).pdf_第4页
第4页 / 共26页
硬件工程师面试题集(含答案-很全).pdf_第5页
第5页 / 共26页
点击查看更多>>
资源描述

《硬件工程师面试题集(含答案-很全).pdf》由会员分享,可在线阅读,更多相关《硬件工程师面试题集(含答案-很全).pdf(26页珍藏版)》请在三一文库上搜索。

1、 . . 学习参考 硬件工程师面试题集 (DSP ,嵌入式系统,电子线路,通讯,微电子,半导体) 1、下面是一些基本的数字电路知识问题,请简要回答之。 (1) 什么是Setup 和 Hold 时间? 答:Setup/Hold Time 用于测试芯片对输入信号和时钟信号之间的时间要求。建立时间 (Setup Time)是指触发器的时钟信号上升沿到来以前,数据能够保持稳定不变的时间。 输入数据信 号应提前时钟上升沿(如上升沿有效)T 时间到达芯片,这个T 就是建立时间通常所说的 SetupTime。如不满足Setup Time,这个数据就不能被这一时钟打入触发器,只有在下一个 时钟上升沿到来时,数

2、据才能被打入触发器。保持时间(Hold Time) 是指触发器的时钟信号 上升沿到来以后,数据保持稳定不变的时间。如果Hold Time 不够,数据同样不能被打入 触发器。 (2) 什么是竞争与冒险现象?怎样判断?如何消除? 答:在组合逻辑电路中,由于门电路的输入信号经过的通路不尽相同,所产生的延时也就会 不同, 从而导致到达该门的时间不一致,我们把这种现象叫做竞争。由于竞争而在电路输出 端可能产生尖峰脉冲或毛刺的现象叫冒险。如果布尔式中有相反的信号则可能产生竞争和冒 险现象。解决方法:一是添加布尔式的消去项,二是在芯片外部加电容。 (3) 请画出用D 触发器实现2 倍分频的逻辑电路 答:把D

3、 触发器的输出端加非门接到D 端即可,如下图所示: (4) 什么是 “线与 “逻辑,要实现它,在硬件特性上有什么具体要求? 答:线与逻辑是两个或多个输出信号相连可以实现与的功能。在硬件上,要用OC 门来实 现(漏极或者集电极开路),为了防止因灌电流过大而烧坏OC 门,应在OC 门输出端接一上 拉电阻 (线或则是下拉电阻)。 (5) 什么是同步逻辑和异步逻辑?同步电路与异步电路有何区别? 答:同步逻辑是时钟之间有固定的因果关系。异步逻辑是各时钟之间没有固定的因果关系. 电路设计可分类为同步电路设计和异步电路设计。同步电路利用时钟脉冲使其子系统同步运 作,而异步电路不使用时钟脉冲做同步,其子系统是

4、使用特殊的“开始”和“完成”信号 使之同步。异步电路具有下列优点:无时钟歪斜问题、低电源消耗、平均效能而非最差效 能、模块性、可组合和可复用性。 (7) 你知道那些常用逻辑电平?TTL 与 COMS 电平可以直接互连吗? 答:常用的电平标准,低速的有RS232、RS485、RS422、TTL 、CMOS、LVTTL 、LVCMOS 、 ECL、 ECL、LVPECL 等,高速的有LVDS 、GTL、 PGTL、 CML 、HSTL 、SSTL 等。 一般说来, CMOS 电平比TTL 电平有着更高的噪声容限。如果不考虑速度和性能,一般 TTL 与 CMOS 器件可以互换。但是需要注意有时候负载

5、效应可能引起电路工作不正常, 因为有些TTL 电路需要下一级的输入阻抗作为负载才能正常工作。 (6) 请画出微机接口电路中,典型的输入设备与微机接口逻辑示意图(数据接口、控制接口、 锁存器 /缓冲器 ) . . 学习参考 典型输入设备与微机接口的逻辑示意图如下: 2、你所知道的可编程逻辑器件有哪些? 答: ROM( 只读存储器 )、PLA( 可编程逻辑阵列)、FPLA( 现场可编程逻辑阵列)、PAL(可编程 阵列逻辑 )GAL( 通用阵列逻辑),EPLD( 可擦除的可编程逻辑器件)、 FPGA( 现场可编程门阵 列)、CPLD( 复杂可编程逻辑器件)等 ,其中ROM 、FPLA 、 PAL、G

6、AL 、EPLD 是出现较 早的可编程逻辑器件,而FPGA 和 CPLD 是当今最流行的两类可编程逻辑器件。FPGA 是基于查找表结构的,而CPLD 是基于乘积项结构的。 3、用VHDL 或 VERILOG、ABLE 描述8 位 D 触发器逻辑 4、请简述用EDA 软件 (如 PROTEL) 进行设计 (包括原理图和PCB 图)到调试出样机的整 个过程,在各环节应注意哪些问题? 答:完成一个电子电路设计方案的整个过程大致可分:(1)原理图设计(2)PCB 设计(3)投板 (4)元器件焊接 (5)模块化调试(6)整机调试。注意问题如下: (1)原理图设计阶段 注意适当加入旁路电容与去耦电容; 注

7、意适当加入测试点和0 欧电阻以方便调试时测试用; 注意适当加入0 欧电阻、电感和磁珠以实现抗干扰和阻抗匹配; (2)PCB 设计阶段 自己设计的元器件封装要特别注意以防止板打出来后元器件无法焊接; FM 部分走线要尽量短而粗,电源和地线也要尽可能粗; 旁路电容、晶振要尽量靠近芯片对应管脚; 注意美观与使用方便; (3)投板 说明自己需要的工艺以及对制板的要求; (4)元器件焊接 防止出现芯片焊错位置,管脚不对应; 防止出现虚焊、漏焊、搭焊等; (5)模块化调试 先调试电源模块,然后调试控制模块,然后再调试其它模块; 上电时动作要迅速,发现不会出现短路时在彻底接通电源; 调试一个模块时适当隔离其

8、它模块; 各模块的技术指标一定要大于客户的要求; (6)整机调试 如提高灵敏度等问题 5、基尔霍夫定理 . . 学习参考 KCL :电路中的任意节点,任意时刻流入该节点的电流等于流出该节点的电流(KVL 同理) 6、描述反馈电路的概念,列举他们的应用 反馈是将放大器输出信号(电压或电流 )的一部分或全部,回收到放大器输入端与输入信号进 行比较 (相加或相减 ),并用比较所得的有效输入信号去控制输出,负反馈可以用来稳定输出 信号或者增益, 也可以扩展通频带,特别适合于自动控制系统。正反馈可以形成振荡,适合 振荡电路和波形发生电路。 7、负反馈种类及其优点 电压并联反馈,电流串联反馈,电压串联反馈

9、和电流并联反馈 降低放大器的增益灵敏度,改变输入电阻和输出电阻,改善放大器的线性和非线性失真,有 效地扩展,放大器的通频带,自动调节作用 8、放大电路的频率补偿的目的是什么,有哪些方法 频率补偿是为了改变频率特性,减小时钟和相位差,使输入输出频率同步 相位补偿通常是改善稳定裕度,相位补偿与频率补偿的目标有时是矛盾的 不同的电路或者说不同的元器件对不同频率的放大倍数是不相同的,如果输入信号不是单一 频率,就会造成高频放大的倍数大,低频放大的倍数小,结果输出的波形就产生了失真 放大电路中频率补偿的目的:一是改善放大电路的高频特性,而是克服由于引入负反馈而可 能出现自激振荡现象,使放大器能够稳定工作

10、。在放大电路中,由于晶体管结电容的存在 常常会使放大电路频率响应的高频段不理想,为了解决这一问题,常用的方法就是在电路中 引入负反馈。 然后, 负反馈的引入又引入了新的问题,那就是负反馈电路会出现自激振荡现 象,所以为了使放大电路能够正常稳定工作,必须对放大电路进行频率补偿。 频率补偿的方法可以分为超前补偿和滞后补偿,主要是通过接入一些阻容元件来改变放大电 路的开环增益在高频段的相频特性,目前使用最多的就是锁相环 9、有源滤波器和无源滤波器的区别 无源滤波器:这种电路主要有无源元件R、L 和 C 组成;有源滤波器: 集成运放和R、C 组成,具有不用电感、体积小、重量轻等优点。集成运放的开环电压

11、增 益和输入阻抗均很高, 输出电阻小, 构成有源滤波电路后还具有一定的电压放大和缓冲作用。 但集成运放带宽有限,所以目前的有源滤波电路的工作频率难以做得很高。 10、名词解释:SRAM 、SSRAM 、SDRAM 、压控振荡器(VCO) SRAM :静态RAM ;DRAM :动态RAM ; SSRAM: Synchronous Static Random Access Memory 同步静态随机访问存储器,它的一种类型的SRAM 。 SSRAM 的所有访问都在时 钟的上升 /下降沿启动。地址、数据输入和其它控制信号均与时钟信号相关。这一点与异步 SRAM 不同,异步SRAM 的访问独立于时钟,

12、数据输入和输出都由地址的变化控制。 SDRAM :Synchronous DRAM 同步动态随机存储器。 11、名词解释:IRQ 、 BIOS 、USB、VHDL 、SDR 。 (1) IRQ :中断请求 (2)BIOS :BIOS 是英文 “Basic Input Output System“ 的缩略语,直译过来后中文名称就是 “基 本输入输出系统“。其实, 它是一组固化到计算机内主板上一个ROM 芯片上的程序 ,它保 存着计算机最重要的基本输入输出的程序、系统设置信息、开机后自检程序和系统自启动 程序。其主要功能是为计算机提供最底层的、最直接的硬件设置和控制。 (3) USB :USB,是

13、英文Universal Serial BUS(通用串行总线)的缩写, 而其中文简称为 “通 串线,是一个外部总线标准,用于规范电脑与外部设备的连接和通讯。 (4) VHDL :VHDL 的英文全写是:VHSIC (Very High Speed Integrated Circuit) Hardware Description Language. 翻译成中文就是超高速集成电路硬件描述语言。主要用于描述数字系 统的结构、行为、功能和接口。 . . 学习参考 (5) SDR:软件无线电,一种无线电广播通信技术,它基于软件定义的无线通信协议而非通 过硬连线实现。换言之,频带、空中接口协议和功能可通过软

14、件下载和更新来升级,而不 用完全更换硬件。SDR 针对构建多模式、多频和多功能无线通信设备的问题提供有效而安 全的解决方案。 12、单片机上电后没有运转,首先要检查什么 首先应该确认电源电压是否正常。用电压表测量接地引脚跟电源引脚之间的电压,看是否是 电源电压,例如常用的5V。接下来就是检查复位引脚电压是否正常。分别测量按下复位 按钮和放开复位按钮的电压值,看是否正确。然后再检查晶振是否起振了,一般用示波器 来看晶振引脚的波形,注意应该使用示波器探头的“ X10”档。另一个办法是测量复位状 态下的IO 口电平,按住复位键不放,然后测量IO 口(没接外部上拉的P0 口除外 )的电 压,看是否是高

15、电平,如果不是高电平,则多半是因为晶振没有起振。另外还要注意的地 方是,如果使用片内ROM 的话 (大部分情况下如此,现在已经很少有用外部扩ROM 的 了),一定要将EA 引脚拉高,否则会出现程序乱跑的情况。有时用仿真器可以,而烧入 片子不行,往往是因为EA 引脚没拉高的缘故(当然,晶振没起振也是原因只一)。经过上 面几点的检查,一般即可排除故障了。如果系统不稳定的话,有时是因为电源滤波不好导 致的。 在单片机的电源引脚跟地引脚之间接上一个0.1uF 的电容会有所改善。如果电源没 有滤波电容的话,则需要再接一个更大滤波电容,例如220uF 的。遇到系统不稳定时, 就可以并上电容试试 (越靠近芯

16、片越好)。 13、最基本的三极管曲线特性 答:三极管的曲线特性即指三极管的伏安特性曲线,包括输入特性曲线和输出特性曲线。 输入特性是指三极管输入回路中,加在基极和发射极的电压VBE 与 由它所产生的基极电 流 I B 之间的关系。输出特性通常是指在一定的基极电流I B 控制下,三极管的集电极与 发射极之间的电压VCE 同集电极电流IC 的关系 图(1) 典型输入特性曲线 . . 学习参考 图(2) 典型输出特性曲线 图(3) 直、交流负载线,功耗线 14、什么是频率响应,怎么才算是稳定的频率响应,简述改变频率响应曲线的几个方法 答:这里仅对放大电路的频率响应进行说明。在放大电路中,由于电抗元件

17、(如电容、电感 线圈等 )及晶体管极间电容的存在,当输入信号的频率过低或过高时,放大电路的放大倍数 的数值均会降低,而且还将产生相位超前或之后现象。也就是说,放大电路的放大倍数 (或 者称为增益)和 输入信号频率是一种函数关系,我们就把这种函数关系成为放大电路的频 率响应或频率特性。放大电路的频率响应可以用幅频特性曲线和相频特性曲线来描述,如果 一个放大电路的 幅频 特性曲线是一条平行于x 轴的直线 (或在关心的频率范围内平行于 x 轴 ), 而相频 特性曲线是一条通过原点 的直线 (或在关心的频率范围是条通过原点的直线 ), 那么该频率响应就是稳定的 改变频率响应的方法主要有:(1) 改变放

18、大电路的元器件参数;(2) 引入新的元器件来改善 现有放大电路的频率响应;(3) 在原有放大电路上串联新的放大电路构成多级放大电路。 15、给出一个差分运放,如何进行相位补偿,并画补偿后的波特图 答:随着工作频率的升高,放大器会产生附加相移,可能使负反馈变成正反馈而引起自激。 进行相位补偿可以消除高频自激。相位补偿的原理是:在具有高放大倍数的中间级,利用一 小电容C(几十几百微微法)构成电压并联负反馈电路。可以使用电容校正、RC 校正 . . 学习参考 分别对相频特性和幅频特性进行修改。 波特图就是在画放大电路的频率特性 曲线时使用 对数坐标 。波特图由 对数幅频特性和 对数 相频特 性两部分

19、组成,它们的横轴采用对数刻度lg f ,幅频特性的纵轴采用lg |Au|表示, 单位为dB;相频特性的纵轴仍用表示。 16、基本放大电路的种类及优缺点,广泛采用差分结构的原因 基本放大电路按其接法分为共基、共射、共集放大电路。 共射 放大电路既 能放大电流又能放大电压,输入电阻在三种电路中居中,输出电阻较大, 频 带较窄 共基 放大电路只能放大电压 不能放大电流, 输入电阻小, 电压放大倍数和输出电阻与共射放 大电路相当,频率特性是三种接法中最好的电路。常用于宽频带放大电路。 共集放大电路只能放大电流 不能放大电压,是三种接法中输入电阻最大、输出电阻最小的 电路,并具有 电压跟随 的特点。常用

20、于电压大电路的输入级和输出级,在功率放大电路中 也常采用射极输出的形式。 广泛采用差分结构的原因是差分结构可以抑制温度漂移现象。 17、给出一差分电路,已知其输出电压Y+ 和 Y-,求共模分量和差模分量 设共模分量是Yc,差模分量是Yd,则可知其输 Y+=Yc+Yd Y-=Yc-Yd 可得Yc=(Y+ + Y-)/2 Yd=(Y+ - Y-)/2 18、画出一个晶体管级的运放电路,说明原理 下图 (a)给出了单极性集成运放C14573 的电路原理图,图(b)为其放大电路部分: 图(a) C14573 电路原理图图(b) C14573 的放大电路部分 图(a)中 T1,T2 和 T7 管构成多路

21、电流源,为放大电路提供静态偏置电流,把偏置电路简化 后,就可得到图(b)所示的放大电路部分。 . . 学习参考 第一级是以P 沟道管 T3 和 T4 为放大管、以N 沟道管 T5 和 T6 管构成的电流源为有源 负载,采用共源形式的双端输入、单端输出差分放大电路。由于第二级电路从T8 的栅极 输入,其输入电阻非常大,所以使第一级具有很强的电压放大能力。 第二级是共源放大电路,以N 沟道管 T8 为放大管,漏极带有源负载,因此也具有很强的电 压放大能力。但其输出电阻很大,因而带负载能力较差。电容C 起相位补偿作用。 19、电阻 R 和电容 C 串联,输入电压为R 和 C 之间的电压,输出电压分别

22、为C 上电压和 R 上电压,求这两种电路输出电压的频谱,判断这两种电路何为高通滤波器,何为低通滤 波器。当RCVth ,当输出到达VDD-Vth 时管子已经关断了。所以当栅压 为 VDD 时,源级的最高输出电压只能为VDD-Vth 。这叫阈值损失。N 管的输出要比栅压 损失一个阈值电压。因此不宜用N 管传输高电平。P 管的输出也会比栅压损失一个阈值。 同理栅压为0 时,P 管 源级的输出电压范围为VDD 到 Vth ,因此不宜用P 管传递低电 平。 22、画电流偏置的产生电路,并解释。 基本的偏置电流产生电路包括镜像电流源、比例电流源和微电流源三种。 下面以镜像电流源电路为例进行说明: . .

23、 学习参考 23、画出施密特电路,求回差电压。 答:下图是用CMOS 反相器构成的施密特电路: . . 学习参考 因此回差电压为: 11 22 2 TTHDD RR VVV RR 24、 LC 正弦波振荡器有哪几种三点式振荡电路,分别画出其原理图。 答:主要有两种基本类型:电容 三点式电路和电感 三点式电路。下图中(a)和 (b)分别给出了 其原理电路及其等效电路 (a) 电容三点式振荡电路 (b) 电感三点式振荡电路 25、 DAC 和 ADC 的实现各有哪些方法? 实现DAC 转换的方法有:权电阻网络D/A 转换,倒梯形网络D/A 转换,权电流网络 . . 学习参考 D/A 转换、权电容网

24、络D/A 转换以及开关树形D/A 转换等。 实现ADC 转换的方法有: 并联比较型A/D 转换,反馈比较型A/D 转换,双 积分型A/D 转换和V-F 变换型A/D 转换。 26、 A/D 电路组成、工作原理 A/D 电路由取样、量化和编码三部分组成,由于模拟信号在时间上是连续信号而数字信号 在时间上是离散信号,因此A/D 转换的第一步就是要按照奈奎斯特采样定律对模拟信号 进行采样。又由于数字信号在数值上也是不连续的,也就是说数字信号的取值只有有限个 数值,因此需要对采样后的数据尽量量化,使其量化到有效电平上,编码就是对量化后的 数值进行多进制到二进制二进制的转换。 27、为什么一个标准的倒相

25、器中P 管的宽长比要比N 管的宽长比大? 和载流子有关,P 管是空穴导电,N 管电子导电,电子的迁移率大于空穴,同样的电场下, N 管的电流大于P 管,因此要增大P 管的宽长比,使之对称,这样才能使得两者上升时 间下降时间相等、高低电平的噪声容限一样、充电和放电是时间相等 28、锁相环有哪几部分组成? 锁相环路是一种反馈控制电路,简称锁相环(PLL )锁相环的特点是:利用外部输入的参考 信号控制环路内部振荡信号的频率和相位。因锁相环可以实现输出信号频率对输入信号频 率的自动跟踪,所以锁相环通常用于闭环跟踪电路。锁相环在工作的过程中,当输出信号 的频率与输入信号的频率相等时,输出电压与输入电压保

26、持固定的相位差值,即输出电压与 输入电压的相位被锁住,这就是锁相环名称的由来锁相环 通常由 鉴相器 (PD) 、环路滤波器 (LF)和 压控振荡器 (VCO )三部分组成。锁相环中的鉴相器又称为相位比较器,它的作 用是检测输入信号和输出信号的相位差,并将检测出的相位差 信号转换成 电压信号 输出, 该信号经低通滤波器滤波后形成压控振荡器的控制电压,对振荡器输出信号的频率实施控 制。 29、用逻辑门和COMS 电路实现AB+CD 这里使用与非门实现: (a) 用逻辑门实现 . . 学习参考 (b) 用 CMOS 电路组成的与非门 图(a)给出了用与非门实现AB+CD ,图 (b)给出了用CMOS

27、 电路组成的与非门,将图(b)代 入图 (a)即可得到用CMOS 电路实现AB+CD 的电路。 30、用一个二选一mux 和一个inv 实现异或 假设输入信号为A、B,输出信号为Y=A B+AB 。则用一个二选一mux 和一个inv 实 现异或的电路如下图所示: 31、给了reg 的 Setup 和 Hold 时间,求中间组合逻辑的Delay 范围 假设时钟周期为Tclk ,reg 的 Setup 和 Hold 时间分别记为Setup 和 Hold 。 则有: 32、如何解决亚稳态 亚稳态是指触发器无法在某个规定时间段内达到一个可确认的状态。当一个触发器进入亚 稳态时,既无法预测该单元的输出电

28、平,也无法预测何时输出才能稳定在某个正确的电平上。 在亚稳态期间,触发器输出一些中间级电平,或者可能处于振荡状态,并且这种无用的输 出电平可以沿信号通道上的各个触发器级联式传播下去。解决方法主要有:(1)降低系统时 钟; (2)用反应更快的FF;(3)引入同步机制,防止亚稳态传播;(4)改善时钟质量,用边沿 变化快速的时钟信号;(5)使用工艺好、时钟周期裕量大的器件 33、集成电路前端设计流程,写出相关的工具。 集成电路的前端设计主要是指设计IC 过程的逻辑设计、 功能仿真, 而后端设计则是指设计 IC 过程中的版图设计、制板流片。前端设计主要负责逻辑实现,通常是使用verilog/VHDL

29、之类语言,进行行为级的描述。而后端设计,主要负责将前端的设计变成真正的 schematic&layout ,流片,量产。 集成电路前端设计流程可以分为以下几个步骤:(1)设计说明书;(2)行为级描述及仿真; . . 学习参考 (3)RTL 级描述及仿真;(4)前端功能仿真。 硬件语言输入工具有SUMMIT ,VISUALHDL, MENTOR 和 RENIOR 等;图形输入工具 有: Composer(cadence),Viewlogic (viewdraw) 等; 数字电路仿真工具有:Verolog: CADENCE 、Verolig-XL 、 SYNOPSYS 、VCS、MENTOR 、

30、Modle-sim VHDL : CADENCE 、NC-vhdl 、SYNOPSYS 、VSS、 MENTOR 、 Modle-sim 模拟电路仿真工具:HSpice Pspice, 34、是否接触过自动布局布线,请说出一两种工具软件,自动布局布线需要哪些基本元素 Protel99se ORcad Allegro Pads2007 powerpcb 焊盘阻焊层丝印层互联线注意模 拟和数字分区域放置敏感元件应尽量避免噪声干扰信号完整性电源去耦 35、描述你对集成电路工艺的认识 集成电路是采用半导体制作工艺,在一块较小的单晶硅片上制作上许多晶体管及电阻器、电 容器等元器件,并按照多层布线或遂道布

31、线的方法将元器件组合成完整的电子电路。 (一)按功能结构分类 模拟集成电路和数字集成电路 (二)按制作工艺分类 厚膜集成电路和薄膜集成电路。 (三)按集成度高低分类 小规模集成电路、中规模集成电路、大规模集成电路和超大规模集成电路 (四)按导电类型不同分类 双极型集成电路和单极型集成电路。 双极型集成电路的制作工艺复杂,功耗较大,代表集成电路有TTL 、ECL、HTL 、LST-TL 、 STTL 等类型 单极型集成电路的制作工艺简单,功耗也较低, 易于制成大规模集成电路,代表集成电路有 CMOS 、NMOS 、PMOS 等类型 36、列举几种集成电路典型工艺,工艺上常提到0.25,0.18

32、指的是什么 制造工艺:我们经常说的0.18 微米、 0.13 微米制程,就是指制造工艺了。制造工艺直接关 系到 cpu 的电气性能,而0.18 微米、 0.13 微米这个尺度就是指的是cpu 核心中线路的宽 度,MOS 管是指栅长。 37、请描述一下国内的工艺现状 38、半导体工艺中,掺杂有哪几种方式 39、描述 CMOS 电路中闩锁效应产生的过程及最后的结果 Latch-up 闩锁效应, 又称寄生PNPN 效应或可控硅整流器( SCR, Silicon Controlled Rectifier ) 效应。在整体硅的CMOS 管下,不同极性搀杂的区域间都会构成P-N 结,而两个靠近的反 方向的

33、 P-N 结就构成了一个双极型的晶体三极管。因此 CMOS 管的下面会构成多个三极管, 这些三极管自身就可能构成一个电路。这就是MOS 管的寄生三极管效应。如果电路偶尔中 出现了能够使三极管开通的条件,这个寄生的电路就会极大的影响正常电路的运作,会使原 本的 MOS 电路承受比正常工作大得多的电流,可能使电路迅速的烧毁。Latch-up 状态下器 件在电源与地之间形成短路,造成大电流、EOS(电过载)和器件损坏。 40、解释 latch-up 现象和 Antenna effect 和其预防措施. 41、什么叫窄沟效应 当 JFET 或 MESFET 沟道较短, q,还有clock 的 dela

34、y, 写 出决定最大时钟的因素,同时给出表达式 T+TclkdealyTsetup+Tco+Tdelay ; TholdTclkdelay+Tco+Tdelay ; 60、说说静态、动态时序模拟的优缺点。 静态时序分析是采用穷尽分析方法来提取出整个电路存在的所有时序路径,计算信号在这些 . . 学习参考 路径上的传播延时,检查信号的建立和保持时间是否满足时序要求,通过对最大路径延时 和最小路径延时的分析,找出违背时序约束的错误。它不需要输入向量就能穷尽所有的路 径,且运行速度很快、占用内存较少,不仅可以对芯片设计进行全面的时序功能检查,而 且还可利用时序分析的结果来优化设计,因此静态时序分析已

35、经越来越多地被用到数字集 成电路设计的验证中。动态时序模拟就是通常的仿真,因为不可能产生完备的测试向量, 覆盖门级网表中的每一条路径。因此在动态时序分析中,无法暴露一些路径上可能存在的 时序问题。 61、画出CMOS 电路的晶体管级电路图,实现Y=A*B+C(D+E) 此类题目都可以采用一种做法,首先将表达式全部用与非门和非门表示,然后将用CMOS 电路实现的非门和与非门代入即可。非门既可以单独实现,也可以用与非门实现(将两输入 端接在一起即可) 下图 (a)和(b)分别为用CMOS 实现的非门和与非门 62、利用4 选 1 数据选择器实现F(x,y,z)=xz+yz . . 学习参考 63、

36、 A、 B、 C、D、E 进行投票,多数服从少数,输出是F(也就是如果A、B、C、D、E 中 1 的个数比0 多,那么F 输出为1,否则F 为 0),用与非门实现,输入数目没有 限制 记 A 赞成时A=1,反对时A=0;B 赞成时A=1,反对时B=0;C、D、E 亦 是如此。由 于共5 人投票且少数服从多数,因此只要有三人投赞成票即可,其他人的投票结果并不需 要考虑。基于以上分析,下图给出用与非门实现的电路: 64、用逻辑门画出D 触发器 . . 学习参考 65、简述latch 和 filp-flop 的异同 本题即问锁存器与触发器的异同。 触发器:能够存储一位二值信号的基本单元电路统称为“触

37、发器”。 锁存器: 一位触发器只能传送或存储一位数据,而在实际工作中往往希望一次传送或存储多 位数据。为此可把多个触发器的时钟输入端CP 连接起来,用一个公共的控制信号来控制, 而各个数据端口仍然是各处独立地接收数据。这样所构成的能一次传送或存储多位数据的电 路就称为“锁存器” 66、 LATCH 和 DFF 的概念和区别 本题即问D 锁存器与D 触发器的概念与区别。 D 触发器是指由 时钟边沿触发的存储器单元,锁存器指一个由信号 而不是时钟控制的电平 敏感的设备 锁存器通过锁存信号控制,不锁存数据时,输出端的信号随输入信号变化,就像信号通过 缓冲器一样,一旦锁存信号起锁存作用,则数据被锁住,

38、输入信号不起作用。 67、latch 与 register 的区别,为什么现在多用register。行为级描述中latch 如何产生的 latch 是电平触发, register 是边沿触发, register 在同一时钟边沿触发下动作, 符合同步电 路的设计思想,而latch 则属于异步电路设计,往往会导致时序分析困难,不适当的应用 latch 则会大量浪费芯片资源。 68、 How many flip-flop circuits are needed to divide by 16 (Intel) . . 学习参考 69、用 filp-flop 和 logic-gate 设计一个1 位加法

39、器, 输入 carryin 和 current-stage, 输 出 carryout 和 next-stage. 考设计具有输入输出缓冲功能的加法器,这样理解的话, 题目做起来很简单,只要将输入和 输出各加一个触发器作为数据锁存器即可,也就是需要4 个触发器。 加法功能完全由门电 路实现。 70、实现N 位 Johnson Counter,N=5 首先给大家解释下Johnson Counter,Johnson Counter 即约翰逊计数器,又称扭环形计数器, 是移位寄存器型计数器的一种。 由于环形计数器的电路状态利用率较低,为了在不改变移位寄存器内部结构的条件下提高环 形计数器的电路状态利

40、用率,只能从改变反馈逻辑电路上想办法。 事实上任何一种移位寄存器型计数器的结构都可表示为如下图所示的一般形式。其中反馈逻 辑电路的函数表达式可写成: . . 学习参考 71、 Cache 的主要作用是什么,它与Buffer 有何区别, DSP Cache 即是 高速缓冲存储器,Cache 是一个高速小容量的临时存储器,可以用高速的静态存 储器芯片实现,或者集成到CPU 芯片内部,存储CPU 最经常访问的指令或者操作数据 Buffer 与 Cache 操作的对象不一样。Buffer( 缓冲 )是为了提高 内存和硬盘 (或 其他I/0 设备 ) 之间的数据交换的速度而设计的。Cache(缓存 )是

41、为了提高cpu 和内存之间的 数据交换速 度而设计,也就是平常见到的一级缓存、二级缓存、三级缓存等。 嵌入式DSP 处理器 (Embedded Digital Signal Processor, EDSP)对系统结构和指令进行了特 殊设计,使其适合于执行DSP 算法,编译效率较高,指令执行速度也较高。在数字滤波、 FFT、谱分析等方面DSP 算法正在大量进入嵌入式领域,DSP 应用正从在通用单片机中 以普通指令实现DSP 功能,过渡到采用嵌入式DSP 处理器。 嵌入式DSP 处理器有两个 发展来源,一是DSP 处理器经过单片化、EMC 改造、增加片上外设成为嵌入式DSP 处 理器, TI 的

42、TMS320C2000 /C5000 等属于此范畴;二是在通用单片机或SOC 中增加 DSP 协处理器,例如Intel 的 MCS-296 和 Infineon(Siemens) 的 TriCore 。 72、 DSP 和通用处理器在结构上有什么不同 与通用处理器相比, DSP 属于专用处理器, 它是为了实现实时数字信号处理而专门设计的。 在结构上, DSP 一般采用哈佛结构,即数据缓存和指令缓存相分开。DSP 有专门的乘加指 令,一次乘加只需一个指令周期即可完成、而通用处理器中的乘法一般使用加法实现的, 一次乘法需要消耗较多的指令周期。 73、用你熟悉的设计方式设计一个可预置初值的7 进制循

43、环计数器,15 进制的呢 这里选择用十六进制计数器74LS161 实现,原理很简单:用74LS161 实现N(N16) 进制 计数器,只需当计数器从0000 增加到N-1 时让74LS161 清零即可。对于7 进制,当 增加到6(0110)时将计数器清零即可。下面简单介绍下74LS161,下图为74LS161 的原理 图: . . 学习参考 管脚说明:A、B、C、D:数据输入端QA 、QB、QC、QD:数据输出端RCO:进位输出 端 CLRN :异步清零端,低电平有效LDN :同步并行置入控制端,低电平有效 ENT 、ENP:计数控制端,高电平有效。 下图为用74LS161 设计的可预置初值的

44、7 进制循环计数器,D3 D2 D1D0 为预置数输入 端。 如果想设计15 进制,只要在QD QC QB QA=1110 时将 CLRN 置低即可。 74、 BLOCKING 和 NONBLOCKING 赋值的区别 非阻塞赋值:块内的赋值语句同时赋值,一般用在时序电路描述中; 阻塞赋值:完成该赋值语句后才能做下一句的操作,一般用在组合逻辑描述。 75、 PCI 总线的含义是什么,PCI 总线的主要特点是什么 PCI 的英文全称为Peripheral Component Interconnect 。 即外部设备互联总线,是于1993 年 推出的PC 局部总线标准。 PCI 总线可以分为32 位

45、总线和64 位总线两种,一般PC 机 使用32 位 PCI 总线,服务器和高级工作站都带有64 位 PCI 总 线。 PCI 总线的主要特 点是传输速度高,目前可实现66M 的工作频率, 在 64 位 总线宽度下可达到突发( Burst) 传输速率264MB/s ,是通常ISA 总线的300 倍, 可以满足大吞吐量的外设的需求。 76、 Please draw schematic of a common SRAM cell with 6 transistors , point out which nodes can store data and which node is word line

46、control . . 学习参考 77、有一个LDO 芯片将用于对手机供电,需要你对它进行评估,你将如何设计你的测试 项目 LDO 为低压差线性稳压器,这里将其用于对手机供电。需要评估的指标主要有两个: LDO 的供电电流和供电电压、LDO 的输出电压噪声抑制比。由于手机是电池供电,因此测试 该 LDO 芯片是最好选用锂电池给芯片供电。 供电电流与供电电压的测试:选择一台具有存储功能的示波器,在对应测试点测试芯片的 输出电压和输出电流(可能需要用数字万用表测),观察结果看起输出电压与输出电流是否 满足手机的正常工作要求。 输出电压噪声抑制比:这个也许需要更精确的仪器去测了,我不是很懂,希望大家

47、指教。 芯片性能的测试需要长时间测试,而且需要在不同环境下测试,如改变温度、湿度,或者 在移动条件下测试。此外,还要测试输入电压发生变化时输出电压和输出电流的变化。 78、画出由运放构成加法、减法、微分、积分运算的电路原理图。并画出一个晶体管级的 运放电路 79、用运算放大器组成一个10 倍的放大器 80、给出一个简单电路,让你分析输出电压的特性(就是个积分电路),并求输出端某点的 rise/fall 时间 81、你知道那些常用逻辑电平?TTL 与 COMS 电平可以直接互连吗 常用逻辑电平:12V,5V,3.3V;TTL 和 CMOS 不可以直接互连,由于TTL 是在 0.3-3.6V 之间

48、,而CMOS 则是有在12V 的有在 5V 的。 CMOS 输出接到TTL 是可以直接互连。TTL 接 CMOS 需要在输出端口加一上拉电阻接到5V 或者 12V。 82、逻辑方面数字电路的卡诺图化简,时序(同步异步差异) ,触发器有几种 (区别, 优点), 全加器等等。 83、用卡诺图写出逻辑表达式。 84、化简 F(A,B,C,D)= m(1,3,4,5,10,11,12,13,14,15) 的和 85、画出 NOT,NAND,NOR的符号,真值表,还有transistor level 的电路。 86、画出 CMOS 的图,画出tow-to-one mux gate。 87、用一个二选一m

49、ux 和一个 inv 实现异或 . . 学习参考 88、画出 Y=A*B+C的 cmos电路图。 89、用逻辑们和cmos电路实现ab+cd 90、画出 CMOS 电路的晶体管级电路图,实现Y=A*B+C(D+E) 91、画出 DFF 的结构图 ,用 verilog 实现之 92、画出一种CMOS 的 D 锁存器的电路图和版图 93、什么是NMOS 、PMOS 、CMOS ?什么是增强型、耗尽型?什么是PNP、NPN?他们 有什么差别? 94、硅栅 COMS 工艺中 N 阱中做的是P 管还是 N 管, N 阱的阱电位的连接有什么要求? 95、画出 CMOS 晶体管的CROSS-OVER图,给出所有可能的传输特性和转移特( Infineon 笔试试题) 96、写出 N 阱 CMOS 的 process流程 ,并画出剖面图 97、画 p-bulk 的 nmos截面图 98、寄生效应在ic 设计中怎样加以克服和利用 99、unix 命令 1. 若不给自己设限,则人生中就没有限制你发挥的藩篱。2. 若不是心宽似海,哪有人生风平浪静。在纷杂的尘世里,为自己留下一片纯静的心灵空间,不管是潮起潮落,也不管是阴晴圆缺,你都可以免去浮躁,义无反顾,勇往直前,轻松自如地走好人生路上的每一步3. 花一些时间,总会看清一些事。用一些事情,总会看清一

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 其他


经营许可证编号:宁ICP备18001539号-1