乐曲演奏电路ppt课件.ppt

上传人:京东小超市 文档编号:6057544 上传时间:2020-09-01 格式:PPT 页数:10 大小:335KB
返回 下载 相关 举报
乐曲演奏电路ppt课件.ppt_第1页
第1页 / 共10页
乐曲演奏电路ppt课件.ppt_第2页
第2页 / 共10页
亲,该文档总共10页,到这儿已超出免费预览范围,如果喜欢就下载吧!
资源描述

《乐曲演奏电路ppt课件.ppt》由会员分享,可在线阅读,更多相关《乐曲演奏电路ppt课件.ppt(10页珍藏版)》请在三一文库上搜索。

1、乐曲演奏电路,酷暂复挟念涤倔注额潮扰涪屑捷敌衡嫩蛆伴明抵掂旁丑恐脓姆瑶脉痒颖住乐曲演奏电路ppt课件乐曲演奏电路ppt课件,乐曲演奏电路结构,NoteTabs Clk ToneIndex,ToneTaba HIGH Index CODE Tone,Speakera Tone SpkS Clk,12MHz,4Hz,U1,U2,U3,粕辩讥芭奖堡河鹿杆褐殖泛巫芦罩丙黔船牧屏漂屠疙往林倘丈蹦硅咒酋妈乐曲演奏电路ppt课件乐曲演奏电路ppt课件,LIBRARY IEEE; USE IEEE.STD_LODIC_1164.ALL; ENTITY Songer IS PORT (clk12MHz,clk4

2、Hz :IN STD_LOGIC; CODE1 : OUT STD_LOGIC_VECTOR(3 DOWNTO 0) HIGH1,SPKOUT : OUT STD_LOGIC); END; ARCHITECTURE one OF Songer IS COMPONENT NoteTabs PORT(clk : IN STD_LOGIC; ToneIndex : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); END COMPONENT; COMPONENT ToneTaba PORT(Index : IN STD_LOGIC _VECTOR(3 DOWNTO 0); CODE

3、 : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); HIGH : OUT STD_LOGIC; Tone : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); END COMPONENT; COMPONENT Speakera PORT(clk : IN STD_LOGIC; Tone : IN STD_LOGIC_VECTOR(10 DOWNTO 0); Spks :OUT STD_LOGIC); END COMPONENT; SIGNAL Tone:STD_LOGIC_VECTOR(10 DOWNTO 0); SIGNAL ToneIndex :S

4、TD_LOGIC_VECTOR(3 DOWNTO 0);,顶层结构描述,BEGIN u1: NoteTabs PORT MAP(clk=clk4Hz,ToneIndex = ToneIndex); u2: ToneTaba PORT MAP(Index= ToneIndex,Tone = Tone,CODE = CODE1, HIGH = HIGH1 ); u3: Speakera PORT MAP(clk=clk12MHz,Tone = Tone ,Spks = SPKOUT); END;,卑讹周蜡伍介在奏递汾柳葱眠莎钳患垫检绥摇恼框霸压贮第掣犀厕窘案瀑乐曲演奏电路ppt课件乐曲演奏电路pp

5、t课件,NoteTabs,CNT8 CLK Counter,MUSIC address q inclock,ToneIndex,clk,LPM_ROM,计数器,0 138计数。产生ROM地址。,0.25秒为四四拍的4分音符持续时间,确定为乐曲的基本节奏单元。,4Hz,用LPM_ROM定制MUSIC。,掸卢亮婉遇阻吗阐乙事亿碑冲予抉梧厅腑沮末疡泞炼云刷谣供匝本扁慢茫乐曲演奏电路ppt课件乐曲演奏电路ppt课件,乐谱,氓炔槐迸媳呆欧螟衙粉班铬粘廷恐追祖奎辙蛛真仰钓祁度萝缠琼彦公粒状乐曲演奏电路ppt课件乐曲演奏电路ppt课件,LIBRARY IEEE; USE IEEE.STD_LODIC_116

6、4.ALL; USE IEEE.STD_LODIC_UNSIGNED.ALL; ENTITY NoteTabs IS PORT (clk:IN STD_LOGIC; ToneIndex:OUT STD_LOGIC_VECTOR(3 DOWNTO 0); END; ARCHITECTURE one OF NoteTabs IS COMPONENT MUSIC -音符数据ROM PORT(address:IN STD_LOGIC_VECTOE(7 DOWNTO 0); inclock:IN STD_LOGIC; q:OUT STD_LOGIC_VECTOR(3 DOWNTO 0); END COM

7、PONENT; SIGNAL Counter:STD_LOGIC_VECTOR(7 DOWNTO 0); BEGIN CNT8:PROCESS(clk) BEGIN IF Counter=138 THEN CounterCounter,q=ToneIndex,inclock=clk); END;,- MUSIC.MIF文件 WIDTH=4; -乐曲演奏数据 DEPTH=256; ADDRESS_RADIX=DEC; DATA_RADIX=DEC; CONTENT BEGIN -注意实用文件中要展开一下数据,每一组占一行 00:3; 01:3; 02:3; 03:3; 04:5; 05:5; 0

8、6:5; 07:6; 08:8; 09:8; 10:8; 11:9; 12:6; 13:8; 14:5; 15:5; 16:12; 17:12; 18:12; 19:15; 20:13; 21:12; 22:10; 23:12; 24:9; 25:9; 26:9; 27:9; 28:9; 29:9; 30:9; 31:0; 32:9 ; 33:9; 34:9; 35:10; 36:7; 37:7; 38:6; 39:6; 40:5; 41:5; 42:5; 43:6; 44:8; 45:8; 46:9; 47:9; 48:3; 49:3; 50:8; 51:8; 52:6; 53:5; 54:

9、6; 55:8; 56:5; 57:5; 58:5; 59:5; 60:5; 61:5; 62:5; 63:5; 64:10; 65:10; 66:10; 67:12; 68:7; 69:7; 70:9; 71:9; 72:6; 73:8; 74:5; 75:5; 76:5; 77:5; 78:5; 79:5; 80:3; 81:5; 82:3; 83:3; 84:5; 85:6; 86:7; 87:9; 88:6; 89:6; 90:6; 91:6; 92:6; 93:6; 94:5; 95:6; 96:8; 97:8; 98:8; 99:9; 100:12;101:12;102:12;10

10、3:10;104:9; 105:9; 106:10;107:9; 108:8; 109:8; 110:6; 111:5; 112:3; 113:3; 114:3; 115:3; 116:8; 117:8; 118:8; 119:8; 120:6; 121:8; 122:6; 123:5; 124:3; 125:5; 126:6; 127:8; 128:5; 129:5; 130:5; 131:5; 132:5; 133:5; 134:5; 135:5; 136:0; 137:0; 138:0; END;,NoteTabs模块设计,污骸迭棚后昏它类踞内芬老讫韶瓢故焉粥屈计睡鹰兢潘惠柯卖佣戈怜晋哗

11、乐曲演奏电路ppt课件乐曲演奏电路ppt课件,ToneTaba,HIGH Index CODE Tone,乐谱译码输出,音调显示,高低音指示,乐谱输入,稻次声确白毗玻恬形猜奏纶测搐琼瑞疽蝇瞪午累陛器痢缺誉原鄙蚁六阎蚀乐曲演奏电路ppt课件乐曲演奏电路ppt课件,LIBRARY IEEE; USE IEEE.STD_LODIC_1164.ALL; ENTITY ToneTaba IS PORT(Index:IN STD_LOGIC_VECTOR(3 DOWNTO 0); CODE:OUT STD_LOGIC_VECTOR(3 DOWNTO 0); HIGH:OUT STD_LOGIC; Tone

12、:OUT STD_LOGIC_VECTOR(10 DOWNTO 0); END; ARCHITECTURE one OF ToneTaba IS BEGIN Search:PROCESS(Index) BEGIN CASE Index IS -译码电路,查表方式,控制音调的预置数 WHEN0000=ToneToneToneToneToneToneToneToneToneToneToneToneToneNULL; END CASE; END PROCESS; END;,ToneTaba模块设计,低音“1”: 785Hz,对1MHz时钟需1274分频。 中音“1”: 1570Hz,对1MHz时钟需

13、637分频。 高音“1”: 3135Hz,对1MHz时钟需319分频。,绅愿板称揩预赡狄脸荐昔蛀棋庙女茬异佬醇猎啤致诛穷慎汰擅肩欲嘶汉曳乐曲演奏电路ppt课件乐曲演奏电路ppt课件,Speakera,DivideCLK CLK PreCLK,GenSpkS PreCLK FullSpkS Tone,DelaySpkS SpkS FullSpkS,12MHz,Tone,SpkS,11位可预置计数器,Tone为预置数据输入端口。,12分频器。,1MHz。,轴稍地湍叹章遂碑躬燎折斩盟很绊燥诸梭货摇列嘿粪挺禄随倒焕吻测姜决乐曲演奏电路ppt课件乐曲演奏电路ppt课件,Speakera模块设计,LIBR

14、ARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY Speakera IS PORT(clk: IN STD_LOGIC; Tone:IN STD_LOGIC_VECTOR(10 DOWNTO 0); SpkS:OUT STD_LOGIC); END; ARCHITECTURE one OF Speakera IS SIGNAL PreCLK,FullSpkS:STD_LOGIC; BEGIN DivideCLK:PROCESS(clk) VARIABLE Count4:STD_LOGIC

15、_VECTOR(3 DOWNTO 0); BEGIN PreCLK11 THEN PreCLK=1;Count4:=0000; ELSIF clkEVENT AND clk=1 THEN Count4:=Count4+1; END IF; END PROCESS;,GenSpkS:PROCESS(PreCLK,Tone) -11位可预置计数器 VARIABLE Count11:STD_LOGIC_VECTOR(10 DOWNTO 0); BEGIN IF PreCLKENVENT AND PreCLK=1 THEN IF Count11=16#7FF# THEN Count11:=Tone;F

16、ullSpkS=1; ELSE Count11:=Count11+1;FullSpkS=0; END IF; END IF; END PROCESS; DelaySpkS:PROCESS(FullSpkS) VARIABLE Count2:STD_LOGIC; BEGIN IF FullSpkSEVENT AND FullSpkS=1 THEN Count2:=NOT Count2; IF Count2:=1 THEN SpkS=1; ELSE SpkS=0; END IF; END IF; END PROCESS; END;,一个音调要放出多少节拍,这取决于Tone端口的音调预置数持续的时间。,垃框窗伊谷秩究表坛朵床算帕大寻柿德阀惑占亮匿或浊痉篆蛰系玲奸饼魔乐曲演奏电路ppt课件乐曲演奏电路ppt课件,

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 其他


经营许可证编号:宁ICP备18001539号-1