Verilog的D触发器及JK触发器实验[互联网+].doc

上传人:rrsccc 文档编号:9349187 上传时间:2021-02-20 格式:DOC 页数:4 大小:39KB
返回 下载 相关 举报
Verilog的D触发器及JK触发器实验[互联网+].doc_第1页
第1页 / 共4页
Verilog的D触发器及JK触发器实验[互联网+].doc_第2页
第2页 / 共4页
亲,该文档总共4页,到这儿已超出免费预览范围,如果喜欢就下载吧!
资源描述

《Verilog的D触发器及JK触发器实验[互联网+].doc》由会员分享,可在线阅读,更多相关《Verilog的D触发器及JK触发器实验[互联网+].doc(4页珍藏版)》请在三一文库上搜索。

1、module JKchufa(set,clr,clk,j,k,q3,q3_);input set,clr,clk,j,k;output q3,q3_;reg q3,q3_;always(set or clr)beginif(set=1) beginq3=1;q3_=0;endelse if(set=0&clr=1) beginq3=0;q3_=1;endendalways(negedge clk)beginif(j=1&k=0&set=0&clr=0)beginq3=1;q3_=0;endelse if(j=0&k=1&set=0&clr=0)beginq3=0;q3_=1;endelse i

2、f(j=1&k=1&set=0&clr=0)beginq3=!q3;q3_=!q3_;endendendmodulemodule Dchufa(set,clr,clk,d,q2,q2_);input set,clr,clk,d;output q2,q2_;reg q2,q2_;always(set or clr)beginif(set=1) beginq2=1;q2_=0;endelse if(set=0&clr=1) beginq2=0;q2_=1;endendalways(posedge clk)beginif(d=0&set=0&clr=0)beginq2=0;q2_=1;endelse

3、 if(d=1&set=0&clr=0)beginq2=1;q2_=0;endendendmodule测试程序timescale 1ns/100psinclude SRchufa.vinclude JKchufa.vinclude Dchufa.vmodule tb_chufa; reg s,r,d,j,k,set,clr,clk; wire q1,q1_,q2,q2_,q3,q3_; always #50 clk=clk; initial begin clk=0; s=0; r=0; d=0; j=0; k=0; set=0; clr=0; #50 s=1; r=0; d=1; j=1; k

4、=0; set=1; clr=0; #50 s=1; r=0; d=1; j=1; k=0; set=0; clr=1; #50 s=1; r=0; d=1; j=1; k=0; set=0; clr=0; #50 s=0; r=1; d=0; j=0; k=1; set=0; clr=0; #50 s=1; r=1; d=0; j=1; k=1; set=0; clr=0; #400 $stop; end SRchufa oo(set,clr,clk,r,s,q1,q1_); Dchufa ox(set,clr,clk,d,q2,q2_); JKchufa oy(set,clr,clk,j,k,q3,q3_);endmodule4互联网2

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 社会民生


经营许可证编号:宁ICP备18001539号-1