数字设计课件 数电课程小结.ppt

上传人:rrsccc 文档编号:9789053 上传时间:2021-03-25 格式:PPT 页数:14 大小:491KB
返回 下载 相关 举报
数字设计课件 数电课程小结.ppt_第1页
第1页 / 共14页
数字设计课件 数电课程小结.ppt_第2页
第2页 / 共14页
数字设计课件 数电课程小结.ppt_第3页
第3页 / 共14页
数字设计课件 数电课程小结.ppt_第4页
第4页 / 共14页
数字设计课件 数电课程小结.ppt_第5页
第5页 / 共14页
点击查看更多>>
资源描述

《数字设计课件 数电课程小结.ppt》由会员分享,可在线阅读,更多相关《数字设计课件 数电课程小结.ppt(14页珍藏版)》请在三一文库上搜索。

1、课程小结,数制与编码,熟悉并能完成不同进制间的转换 (1)2、8、16进制数之间的相互转换 (2)2进制与10进制数之间的相互转换 2进制10进制:按权求和 10进制2进制:整数除基取余、小数乘基取整 掌握二进制无符号数、符号数的表达 (1)符号数-数值码(原码)、补码、反码: 最高位为符号位, 正数”0”,负数“1”,数制与编码,(2)10进制正数、负数的2进制符号数表达; 正数SM码、补码、反码是一样的。形式是 0 负数SM码、 反码、 补码 1 , 1 , 1 理解同一个二进制数码串在定义为无符号数、原码、补码及反码时的含义;,数制与编码,二进制补码的加/减法及溢出的判断 带符号位一起进

2、行加/减运算。减法可以用加法实现,此时溢出的判断采用加法的溢出判断方法。 BCD码和Grey码的构造,了解其余二十进制编码,e-3码、2421码等。 例:(1)123.6875d=( )2=( )8=( )h, (2)两个操作数,A=+78,B=-89,试用8-bit二进制补码完成下列运算并判断是否有溢出: A+B B-A (3)129的BCD码,Grey码,数字电路,基本性质: 逻辑电平、正负逻辑; 稳态电气特性:噪声容限、逻辑门的负载特性、扇入/出系数、未用输入端的处理; 动态电器特性:转换时间、传输时延(能画出时序图) CMOS逻辑:基本结构(一个NMOS和一个PMOS构成反相器,具备带

3、非的特性),开关特性,其他带非的逻辑门是在此基础上添加输入端,并形成“与”、“或”逻辑。 其他逻辑结构:三态、漏极开路,组合逻辑设计原理,开关代数: (1)公理、定理 对偶(与负逻辑的关系)、反演规则 能应用于逻辑函数的化简、等效变换、证明 (2)逻辑函数的表达形式: 真值表 标准和式、标准积式 最小项列表、最大项列表(最小项、最大项及其性质) ,相互的转换,组合逻辑电路的分析 根据给定的电路能写出正确的逻辑表达式 列真值表 画出时序图(定时图,有延时或无延时) 找出电路可能存在的冒险 组合逻辑电路的综合(门电路级) 从文字性的描述中找出输入/输出之间的逻辑关系 列出真值表、卡诺图 写出最简表

4、达式、画出电路 无关项的化简、多输出函数的化简(了解) 冒险的检查和消除,熟悉常见的组合逻辑电路 如码制转换电路(BCD-Gray,Gray-二进制) 多数表决,比较,编码,奇偶校验码的生产及校验过程(第六章的MSI能用门级电路实现),组合逻辑设计实践,掌握各MSI模块的功能,区分使能输入端和数据输入端的用途。 译码器:74139、 74138 译码功能、实现组合逻辑函数 编码器: 74148 一般编码、优先编码 多路复用器: 74151 数据选择功能、实现组合逻辑函数,异或门:表达式、特点 实现奇偶校验(奇偶校验码、实现校验) 比较器和数值比较器 功能、了解迭代结构 加法器:74283 组合

5、逻辑设计(模块级) 按照文字描述,找出逻辑关系,选用恰当的器件及门电路实现。,例1、用MSI器件实现3-bit 二进制码到Gray码的转换。 例2、用加法器74283及一些恰当的器件实现1位BCD码的加法。 例3、假定某电路的工作波形如图所示,试找出其最简实现方案,用门电路实现;再用74138和74151实现。,输入,输出,时序逻辑电路小结,1、时序逻辑电路的基本器件 锁存器、触发器 分类:S-R、D、J-K、T 功能:存储1位二进制数,代表一个状态变量。 掌握功能表、特征方程、逻辑符号、触发方式(脉冲触发、边沿触发)等基本概念。 2、时序逻辑电路的分析 含触发器和组合电路构成的器件级电路、M

6、SI加上组合电路的组件级电路。,要求: 写出激励方程、转移方程、输出方程; 写出转移/输出表,给状态变量表达的状态命名,并写出状态/输出表; 画出状态图(注意状态转移的互斥性和完备性;) 画出时序图(注意触发边沿的极性); 对于计数器等构成的时序电路,正常的状态转移是单循环的模n计数,有部分未用状态可能会回到主循环,有的不会。有的有控制类输入清零、装数、初始值输入,输出是计数输出,进位输出. 移位寄存器构成的电路,有数据移位电路,移位寄存器式计数器电路环形计数器、Johnson计数器。,3、同步时序电路的设计 常见的有序列检测、位序列发生、计数器或以某种顺序发生变化等。 重要的第一步:按照设计要求,找出电路中可能的状态,每个状态表明发生的事情朝着解决问题的方向进行。 若采用触发器和组合电路等基本器件建立电路,写出状态/输出表或转移列表,确定触发器后进行状态赋值,得转移/输出表、利用选用的触发器的应用表构建激励表,建立激励方程、输出方程。 若采用MSI组件,正确设置相关的级联输入/输出、控制端、建立所需的反馈电路等。,

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 社会民生


经营许可证编号:宁ICP备18001539号-1