calibre后仿真参数提取.docx

上传人:rrsccc 文档编号:9862869 上传时间:2021-03-31 格式:DOCX 页数:7 大小:17.30KB
返回 下载 相关 举报
calibre后仿真参数提取.docx_第1页
第1页 / 共7页
calibre后仿真参数提取.docx_第2页
第2页 / 共7页
calibre后仿真参数提取.docx_第3页
第3页 / 共7页
calibre后仿真参数提取.docx_第4页
第4页 / 共7页
calibre后仿真参数提取.docx_第5页
第5页 / 共7页
点击查看更多>>
资源描述

《calibre后仿真参数提取.docx》由会员分享,可在线阅读,更多相关《calibre后仿真参数提取.docx(7页珍藏版)》请在三一文库上搜索。

1、calibre后仿真参数提取使用Calibre xRC实现RFCMOS电路的寄生参量提取及后仿真郭慧民摘要Calibre xRC是Mentor Graphics公司用于寄生参量提取的工具,其强大的功能和良好的易用性使其得到业界的广泛认可。本文以采用RFCMOS工艺实现的LNA为例,介绍使用Calibre xRC对RFCMOS电路寄生参量提取,以Calibreview 形式输出以及在Virtuoso的ADE中直接后仿真的流程。本文还将讨论Calibre xRC特有的XCELL方式对包含RF器件的电路仿真结果的影响。采用Calibre xRC提取寄生参量采用RFCMOS工艺设计低噪声放大器(LNA

2、),其电路图如图1所示,版图如图2所示。图1 LNA的电路图图2 LNA的版图Calibre支持将其快捷方式嵌入在Virtuoso平台中。用户只需在自己.cdsinit文件中加入以下一行语句:load( strcat( getShellEnvVar(MGC_HOME) /lib/calibre.skl )就可以在virtuoso的菜单中出现“calibre”一项,包含如下菜单:点击Run PEX,启动Calibre xRC的GUI,如图3所示。Outputs菜单中的Extraction Type里,第一项通常选择Transistor Level或Gate Level,分别代表晶体管级提取和门级

3、提取。第二项可以选择R+C+CC,R+C,R,C+CC,其中R 代表寄生电阻,C代表本征寄生电容,CC代表耦合电容。第三项可以选择No Inductance,L或L+M,分别代表不提取电感,只提取自感和提取自感与互感。这些设置由电路图的规模和提取的精度而定。在Format一栏中,可以选择SPECTRE,ELDO,HSPICE等网表形式,也可以选择Calibre xRC提供的CALIBREVIEW形式。本文中选择CALIBREVIEW形式。Use Names From可以根据需要选择SCHEMATIC或LAYOUT。图3 PEX的GUI界面设置完毕后,点击Run PEX,开始寄生参量提取。通常,

4、Calibre xRC先执行LVS,之后提取寄生参量,最后将电路图中的原有的器件和提取出的寄生电容,电阻和电感反馈到一新生成的带寄生信息的电路图中。PEX完成后,弹出如下对话框:图4 Calibre View设置界面其中,Output Library表示输出新生成的电路图的library,通常选为提取寄生参量前的schematic和symbol所在的library即可。Calibre View Type 代表新生成的schematic的View形式,可以取任意名字,只要不与已有的view name重复即可。比如,取做calibre_r,calibre_rc或calibre_rcc,以分别代表不

5、同的提取形式,本文中直接取成calibre。Cellmap File是描述寄生参量提取前后器件对应关系的文件,默认的是./calview.cellmap,即Virtuoso启动目录下的calview.cellmap文件。如果是第一次提取,需要按下面步骤配置这个文件。其他选项默认即可。点击OK,即开始配置calview.cellmap文件,首先弹出如图5左所示对话框:图5 设置calview.cellmap文件的对话框这个对话框用来配置在新生成的带有寄生参量的电路图中的器件所对应的library,cell和view。本文中名为nmos_rfw5与foundry的PDK中提供的rfnmos2v5w

6、的symbol相对应。点击Auto Map Pins,将自动出现Pin Map。如果不能自动匹配,通常是由于layout提取出的器件的pin的个数和symbol中pin的个数不一致,可以通过修改rule文件使其pin的数目一致。这样新生成的带寄生参量的电路图中将以这个symbol代表这个器件。其他的器件依此类推。最后要确定提出的寄生电容和寄生电阻的符号,通常采用analogLib中的cap 和res即可,如图5右所示。全部器件设置完成后,在所选的Output Library中将出现cellname为lna,view为calibre的cell。打开后通常无法直接看到器件,这是由于其中包含的sym

7、bol太多,每个symbol太小难以全部显示。可以执行CTRL+A,找到symbol 的大致位置,再放大查看。这个calbre的view中包含了与symbol对应的pin,原来电路图中器件的符号,和生成的寄生电容和电阻,它们构成了带有寄生信息的电路图。因此,可以直接使用这个电路图进行后仿真。直接在ADE中进行后仿真直接采用前仿真时的测试电路,在composer中通过Tools-Analog Environment启动ADE。在setup菜单中选择Environment,弹出如图6所示对话框。图6 ADE中的Environment对话框在Switch View List中的最前端填入calibr

8、e。工具生成网表时,将按照顺序首先寻找名为calibre的view,然后是spectre,依此类推。如果需要仿真不同参数提取条件下的结果,只要将相应的view名称(比如calibre_r,calibre_rc,calibre_rcc等)放置在最前端Switch View List即可。其它各项默认,点击OK。选择仿真类型,进行仿真,这一步骤与前仿真完全相同。图7给出了本例中的LNA前仿真和提取RCC之后的后仿真的瞬态结果对比。由此可见,采用calibreview的输出形式能够非常方便的在Virtuoso的ADE中进行后仿真和比较前后仿真结果。图7 LNA前仿真和后仿真瞬态波形对比使用XCELL

9、避免寄生参量的重复提取图1中,黑线框所示为RF器件。与一般的MOS器件不同,这类器件的模型是代工厂经过实际测量得到的参数,在spice model中通过子电路表示。因此,它的模型中已经包含了器件的寄生信息。而且,由于这类器件的面积通常较大,其中的寄生电容和寄生电阻值是相当可观的。比如,在本设计中,所示的每个RFMOSFET的宽和长分别为500um和0.24um,每个器件包含50个finger。如果工具对RF器件的内部也进行提取,将会对导致器件的寄生电容和电阻重复提取。为了确保提取正确,Calibre xRC提供一种称为“黑盒”提取的方法,可以将指定的器件(通常是RF器件)看作理想器件,对其内部

10、的节点之间的寄生电容和寄生电阻不再提取。具体步骤如下:首先,先定义xcell文件,例如;cellL cellLcellR cellRcellM cellM左边是版图单元的名称,右边是电路图单元的名称。其中所指定的器件版图和电路图必须是单独的单元。通过这种方式定义版图和原理图单元的对应关系,以及提取寄生时所需要屏蔽的版图单元。其次,在XRC rule中添加PEX IDEALXCELL YES语句。最后,采用gate level的方式进行寄生参量提取,确保工具将RF器件识别为一子电路。如果采用GUI的方式,在图3所示的界面中,选择gate level提取,而不是transistor level级提

11、取。同时在input选项中的xcell 部分选择已写好的xcell文件,如图8所示。图8 设置xcell的界面完成以上设置后,运行PEX进行寄生参量提取,步骤与未采用XCELL时相同。XCELL对LNA仿真结果的影响图9,图10和图11分别给出了是否采用XCELL对LNA瞬态性能,S21参数和噪声系数的影响。图9 是否采用XCELL对LNA的瞬态性能的影响图10 是否采用XCELL对LNA的S21的影响图11 是否采用XCELL对LNA的NF的影响可见,是否采用XCELL对LNA的性能有比较大的影响。主要有两个原因:首先,不加XCELL将RF器件内部的栅电容提了出来,增大了负载电容,降低的S2

12、1,进而降低了电压增益。其次,不加XCELL将RF器件内部的栅电阻提了出来,增大的噪声系数。因此,对于像LNA这种对寄生电阻和电容非常敏感的模块,在提取时必须采用XCELL,避免将RF器件内部的寄生电容和电阻提出,才能得到准确的结果。总结Calibre xRC是Mentor Graphics公司著名的验证工具Calibre的寄生参数提取模块,它具有丰富的输出格式,支持数字、模拟、射频及混合电路的寄生参数提取。Calibre xRC被主流foundry所支持,具有良好的精确性,特别是对于模拟和射频电路,输出能够反标回主流的仿真工具中,满足不同的设计流程。使用Calibre xRC进行寄生参量提取时,选择calibreview的输出形式,可以非常方便的在Virtuoso的ADE中直接进行后仿真,以及进行前后仿真结果的比较。对于习惯Virtuoso图形化界面进行仿真的用户,采用Calibre view的输出可以让版图,后仿真非常平滑的连接在一起,让设计流程更顺畅。Calibre xRC还提供XCELL的特殊提取形式能够避免采用Design Kit方式设计的器件(比如PCELL、RF)内部寄生参量重复提取,确保仿真结果准确无误,降低设计流片失败的风险,提高芯片的良率。

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 社会民生


经营许可证编号:宁ICP备18001539号-1