电子设计自动化技术实验.docx

上传人:rrsccc 文档编号:10056552 上传时间:2021-04-14 格式:DOCX 页数:7 大小:78.69KB
返回 下载 相关 举报
电子设计自动化技术实验.docx_第1页
第1页 / 共7页
电子设计自动化技术实验.docx_第2页
第2页 / 共7页
电子设计自动化技术实验.docx_第3页
第3页 / 共7页
电子设计自动化技术实验.docx_第4页
第4页 / 共7页
电子设计自动化技术实验.docx_第5页
第5页 / 共7页
点击查看更多>>
资源描述

《电子设计自动化技术实验.docx》由会员分享,可在线阅读,更多相关《电子设计自动化技术实验.docx(7页珍藏版)》请在三一文库上搜索。

1、资料内容仅供您学习参考,如有不当或者侵权,请联系改正或者删除。 子 自 化技 一熟悉 Quartusll的 形 入法1、 目的掌握 Quartusll的使用方法( 1) 熟悉 形 入法( 2) 理解 方法( 3) 了解定 仿真2、 内容( 1) 一个二 一数据 器 , 全加器( 2) 根据 形 入法 和波形仿真3、 要求( 1) 熟悉 形 入法( 2) 理解 方法 , 了解功能仿真的方法和定 仿真的方法( 3) 了解把 成一个 符号的方法4、 步 ( 1)建立 目在Quartusll管理器窗口中 菜 filenew project wizard,出 新建 目向 new project wiza

2、rd 框的第一 , 在 框中 入 目路径, 目名称和 体文件名mux 21-3新建 目向 第二 , 按 ”,可 文件 , 添加或 除与 目有关的文件, 然后 next新建 目向 第三 , 根据器件的封装形式, 引脚数量和速度资料内容仅供您学习参考,如有不当或者侵权,请联系改正或者删除。 目 器件, 选择 cyclone, 即系列中的EPLC6Q24C8 芯片 ,然后 next新建 目向 第四 , 添加第三方EDA 合 , 仿真 , 定 等分析工具 , 系 默 Quartusll的分析工具在新建 目向 框的最后一 , 出前面 入内容的 览 , 单击 finish 按 , mux21-3 目出 在

3、 目 航窗口2、 入文本文件新建VHDL文本文件, 在Quartusll管理器界面中 菜 filenew, 或 新建文件按 , 出 new 框, 在DesignFile 中 VHDLFile,单击ok按 ,打开文本 器, 在文本 器窗口下 , 按照 VHDL 言 入 文件, 并将其保存 入二 一数据 器文件 Libraryieee;Use ieee.std_logic_1164.all;Entity mux21_3 isPort(i0,i1,sel:in std_logic;y:out std_logic);End mux21_3;Architecture verl of mux 21_3 i

4、sBeginWith sel selety=i0 when 0;i1 when 1;资料内容仅供您学习参考,如有不当或者侵权,请联系改正或者删除。X when others;End verl;3、 行 置 文件: 首先打开准 行 的mux21-3.vhd, 行菜 命令project/setas TOP_levelEtity, 下面 行 理的各 操作就是 文件mux21-3 行的。 行 : 菜 projectstartcompilation, 或直接 工具 的 快捷按 , 开始 行 操作, 如果 有错误 , 需要重新修改 , 重新 行 4、 波形仿真( 1) 新建波形文件 菜 Filevecto

5、rfilenew ,在waveformnew 框中 VerficationDebuggingfile, 出 现 波形 编 辑 窗 口 , 将 文 件保 存 为mux21-3.vwf( 2) 置仿真器鼠 标 右 键 单 击 项 目 名 选 择Setting或 者 直 接 选 择 菜 单 命 令AssignmentssettingSimulatorSettings,在 settings 框的 Category 目下 在右 出 的 框中 置仿真器 , 选择Functional( 3) 插入仿真 点在波形 窗口的name 目下 , 鼠 右 , 选择 InsertInsertnode or bus ,出

6、 Insertnode or bus 的 框 , 找 点信息 ,资料内容仅供您学习参考,如有不当或者侵权,请联系改正或者删除。插入 点 合理的 范 , 单击list,列出所 点信号, 接着在nodes found 目下 波形仿真需要 察的 点信号, 然后 送入 中按 , 在 selected nodes 目下 , 列出 中 点 , 全部 点 完成后 , 按 ok 确 5、 入波形 菜 EditEndTime ,根据需要修改最大仿真 菜 EditGrid size ,根据需要修改网格大小6、 运行仿真器 菜 Projectingstartsimulation或者 工具 中的仿真快捷 按 运行仿真

7、程序仿真得到的 形如下原理 文件 入1、建立原理 文件 菜 filenew 在出 的 框中 Design FileBlockDiagramSchematicFile, 打开 形 器, 出 空白的原理 文件 , 菜 fileSave as , 入文件名 , 保存 文件2、 使用模 符号 资料内容仅供您学习参考,如有不当或者侵权,请联系改正或者删除。图形编辑器的左侧是输入按钮 , 最常见的是模块符号组 , 单击模块符号按钮 , 打开 symbol 对话框 , 在对话框中 , 输入各种逻辑电路符号 , 绘制电路图如下对该电路图进行仿真, 仿真图形如下资料内容仅供您学习参考,如有不当或者侵权,请联系改

8、正或者删除。资料内容仅供您学习参考,如有不当或者侵权,请联系改正或者删除。 二熟悉 Quarstusll 的 VHDL 言描述 入法一、 目的( 1) VHDL 言描述 入法( 2) 理解 方法( 3) 熟悉波形仿真1、 内容( 1) 一个 4 位并行奇校 生器( 2) 根据 VHDL 言描述 入法 和波形的仿真2、 要求( 1) 、 熟悉 VHDL 言描述 入法( 2) 、 理解 方法 , 了解功能仿真的方法和定 仿真的方法( 3) 、 了解把 功能 成一个 符号的方法3、 步 在Quartusll管理器窗口中 菜 filenew project wizard,出 新建 目向 new project wizard 框的第一 , 在 框中 入 目路径, 目名称和 体文件名parity-loop新建 目向 第二 , 按 ”,可 文件 , 添加或 除与 目有关的文件, 然后 next新建 目向 第三 , 根据器件的封装形式 , 引脚数量和速度 目 器件 , 选择 cyclone, 即系列中的 EPLC6Q24C8 芯片 ,然后 next新建 目向 第四 , 添加第三方EDA 合 , 仿真 , 定 等分

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 社会民生


经营许可证编号:宁ICP备18001539号-1