数字电路与逻辑设计实验设计方案双色点阵显示控制器.doc

上传人:土8路 文档编号:10332682 上传时间:2021-05-09 格式:DOC 页数:35 大小:373.50KB
返回 下载 相关 举报
数字电路与逻辑设计实验设计方案双色点阵显示控制器.doc_第1页
第1页 / 共35页
数字电路与逻辑设计实验设计方案双色点阵显示控制器.doc_第2页
第2页 / 共35页
数字电路与逻辑设计实验设计方案双色点阵显示控制器.doc_第3页
第3页 / 共35页
数字电路与逻辑设计实验设计方案双色点阵显示控制器.doc_第4页
第4页 / 共35页
数字电路与逻辑设计实验设计方案双色点阵显示控制器.doc_第5页
第5页 / 共35页
点击查看更多>>
资源描述

《数字电路与逻辑设计实验设计方案双色点阵显示控制器.doc》由会员分享,可在线阅读,更多相关《数字电路与逻辑设计实验设计方案双色点阵显示控制器.doc(35页珍藏版)》请在三一文库上搜索。

1、数字电路与逻辑设计实验设计方案题目:双色点阵显示控制器一、设计思路n 基本要求:1. 固定红色显示一个汉字或图形,显示亮度4级可调,用一个btn按钮实现亮度调节,亮度变化视觉效果要尽量明显。2. 用从红到绿8级渐变色显示一个固定汉字或图形。3. 分别用单字循环显示、左右滚动显示、上下滚动显示三种显示方式单色显示四个汉字或图形,显示过程中,显示方式用一个btn按键进行切换。4. 显示的图形或汉字要尽量饱满美观。 n 提高要求:1. 滚动显示过程中实现四种显示颜色的自动变换,颜色变化视觉效果要尽量明显。2. 自拟其它功能。二、系统设计总体框图时钟输入按键开关BTN1 BTN2 分频电路 8*8矩形

2、显示屏控制器防抖6个状态方框图(模块划分)CLKButton1Button2按键防抖电路控制器B1B2分频电路intensity_choosediv_clk_lightnesschange_occupy扫描控制电路8*8点阵显示屏in_modein_intensitystate_choosediv_rowdiv_movediv_occupydiv_clkdiv_tempdisplayASM图启动B1单字循环B1B1左右滚动11上下滚动B1红字:1级B2B2B2B2红字:2级红字:3级红字:4级渐变色显示B1MDS图状态5状态4状态3状态2状态1状态0B1B1B1B1B1B1三、仿真波形及仿真分

3、析1 时钟分频分析:这个进程组用于产生不同频率的时钟用于不同用途的时钟信号,图中所表达的也是如此,不同频率的时钟信号。对于lightness信号来说,它是一个低分频的,可控占空比的信号,图中所示为占空比为80%时的信号。2 防抖分析:Mode_in和intensity_in信号有短时间的抖动现象,在经过防抖之后,短时间的抖动并不会影响mode和intensity信号。Mode_in和intensity_in信号有长时间的高电平,这会使控制电路既要检测上升沿又要检测下降沿。经过防抖程序之后,将控制信号mode和intensity处理成脉冲信号,这样控制电路只需检测是否有正脉冲就可以了。3 状态控

4、制与亮度调节分析:每当mode信号有一个正脉冲时,state就变化一次。每当intensity信号有一个正脉冲时,lightness就改变一次占空比。4 颜色改变分析:当状态改变到S1的时候,占空比信号才会改变。从图中可以看出,可控占空比信号是每八个时间单位为一组,这八个时间单位分别代表着第一行被选中、第二行被选中、第八行被选中。当第一行被选中时,可以清楚看到红色和绿色控制信号的占空比是不同的,这样就会导致点阵点的颜色中红色成分和绿色成分亮度不同,从而改变其颜色。5 字符显示部分分析:从图中可以看出,当模式切换后,列内容信号会被赋予不同的值,且随时间而改变。6 显示部分分析:从图中可以看出,各

5、个信号之间的逻辑关系符合代码中的表达式。四、源程序(含注释)LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY pointmatrix IS PORT(clk:IN STD_LOGIC;mode_in:IN STD_LOGIC;intensity_in:IN STD_LOGIC;row:OUT STD_LOGIC_VECTOR(7 DOWNTO 0);red_col:OUT STD_LOGIC_VECTOR(7 DOWNTO 0);green_col:OUT STD_LOGIC_VECT

6、OR(7 DOWNTO 0);END pointmatrix;ARCHITECTURE a OF pointmatrix ISTYPE all_state IS (S0,S1,S2,S3,S4);SIGNAL state:all_state;SIGNAL clk_move:STD_LOGIC;SIGNAL clk_div:STD_LOGIC;SIGNAL clk_occupy:STD_LOGIC;SIGNAL clk_temp:STD_LOGIC;SIGNAL clk_lightness:STD_LOGIC_VECTOR(7 DOWNTO 0);SIGNAL data0,data1,data2

7、,data3,data4,data5,data6,data7:STD_LOGIC_VECTOR(7 DOWNTO 0);SIGNAL data_row:STD_LOGIC_VECTOR(7 DOWNTO 0);SIGNAL data_col:STD_LOGIC_VECTOR(7 DOWNTO 0);SIGNAL gr_occupy:STD_LOGIC_VECTOR(7 DOWNTO 0);SIGNAL re_occupy:STD_LOGIC_VECTOR(7 DOWNTO 0);SIGNAL mode:STD_LOGIC;SIGNAL intensity:STD_LOGIC;SIGNAL oc

8、cupy_count:INTEGER RANGE 0 TO 100;SIGNAL tmp1,tmp2,tmp3,tmp4:STD_LOGIC;BEGINdiv_move:PROCESS(clk) -用于防抖的分频VARIABLE count:INTEGER RANGE 0 TO 25000000;BEGINIF clkEVENT AND clk=1 THENIF count=9999999 THENcount:=0;ELSEcount:=count+1;END IF;IF count5000000 THENclk_move=1;ELSEclk_move=0;END IF;END IF;END

9、PROCESS div_move;div_occupy:PROCESS(clk) -控制占空比进程和数码管显示的高频时钟VARIABLE count:INTEGER RANGE 0 TO 25000000;BEGINIF clkEVENT AND clk=1 THENIF count=19999 THENcount:=0;ELSEcount:=count+1;END IF;IF count10000 THENclk_occupy=1;ELSEclk_occupy=0;END IF;END IF;END PROCESS div_occupy;div_clk:PROCESS(clk) -控制字符图

10、形显示的高频时钟VARIABLE count:INTEGER RANGE 0 TO 25000000;BEGINIF clkEVENT AND clk=1 THENIF count=159999 THENcount:=0;ELSEcount:=count+1;END IF;IF count80000 THENclk_div=1;ELSEclk_div=0;END IF;END IF;END PROCESS div_clk;div_temp:PROCESS(clk) -用于防抖进程组和检测输入信号的中频时钟VARIABLE count:INTEGER RANGE 0 TO 25000000;BE

11、GINIF clkEVENT AND clk=1 THENIF count=255 THENcount:=0;ELSEcount:=count+1;END IF;IF count128 THENclk_temp=1;ELSEclk_temp=0;END IF;END IF;END PROCESS div_temp;in_mode:PROCESS(mode_in,clk_temp,tmp1,tmp2) -按键防抖1BEGINIF clk_tempevent AND clk_temp=0 THENtmp1=tmp2;tmp2=mode_in;END IF;mode=clk_temp AND tmp

12、2 AND (NOT tmp1);END PROCESS in_mode;in_intensity:PROCESS(intensity_in,clk_temp,tmp3,tmp4) -按键防抖2BEGINIF clk_tempevent AND clk_temp=0 THENtmp3=tmp4;tmp4=intensity_in;END IF;intensitystatestatestatestatestateoccupy_countoccupy_countoccupy_countoccupy_countoccupy_count=100;END CASE;END IF;END PROCESS

13、intensity_choose;div_clk_lightness:PROCESS(clk,occupy_count) -单字调节亮度VARIABLE count:INTEGER RANGE 0 TO 25000000;BEGINIF clkEVENT AND clk=1 THENIF count=99 THENcount:=0;ELSEcount:=count+1;END IF;IF countoccupy_count THENclk_lightness=00000000;ELSEclk_lightnessdata0=01100110;data1=11111111;data2=111111

14、11;data3=01111110;data4=00111100;data5=00011000;data6=00011000;data7data0=01100110;data1=11111111;data2=11111111;data3=01111110;data4=00111100;data5=00011000;data6=00011000;data7CASE count1 ISWHEN 0=data0=11111110;data1=10000001;data2=10000001;data3=11111110;data4=11111110;data5=10000001;data6=10000

15、001;data7data0=11000011;data1=11000011;data2=11000011;data3=11000011;data4=11000011;data5=01100110;data6=00111100;data7data0=11111100;data1=10000010;data2=10000001;data3=10000010;data4=11111100;data5=10000000;data6=10000000;data7data0=11111111;data1=11111111;data2=00011000;data3=00011000;data4=00011

16、000;data5=00011000;data6=00011000;data7CASE count IS WHEN 0=data0=11111110;data1=10000001;data2=10000001;data3=11111110;data4=11111110;data5=10000001;data6=10000001;data7data0=11111101;data1=00000011;data2=00000011;data3=11111101;data4=11111101;data5=00000010;data6=00000010;data7data0=11111011;data1

17、=00000111;data2=00000111;data3=11111011;data4=11111011;data5=00000101;data6=00000100;data7data0=11110110;data1=00001110;data2=00001110;data3=11110110;data4=11110110;data5=00001011;data6=00001001;data7data0=11101100;data1=00011100;data2=00011100;data3=11101100;data4=11101100;data5=00010110;data6=0001

18、0011;data7data0=11011000;data1=00111000;data2=00111000;data3=11011000;data4=11011000;data5=00101100;data6=00100111;data7data0=10110000;data1=01110000;data2=01110000;data3=10110000;data4=10110000;data5=01011001;data6=01001111;data7 data0=01100001; data1=11100001; data2=11100001; data3=01100001; data4

19、=01100001; data5=10110011; data6=10011110; data7 data0=11000011; data1=11000011; data2=11000011; data3=11000011; data4=11000011; data5=01100110; data6=00111100; data7 data0=10000111; data1=10000111; data2=10000111; data3=10000111; data4=10000111; data5=11001101; data6=01111001; data7 data0=00001111;

20、 data1=00001110; data2=00001110; data3=00001110; data4=00001111; data5=10011010; data6=11110010; data7 data0=00011111; data1=00011100; data2=00011100; data3=00011100; data4=00011111; data5=00110100; data6=11100100; data7 data0=00111111; data1=00111000; data2=00111000; data3=00111000; data4=00111111;

21、 data5=01101000; data6=11001000; data7 data0=01111111; data1=01110000; data2=01110000; data3=01110000; data4=01111111; data5=11010000; data6=10010000; data7 data0=11111111; data1=11100000; data2=11100000; data3=11100000; data4=11111111; data5=10100000; data6=00100000; data7 data0=11111110; data1=110

22、00001; data2=11000000; data3=11000001; data4=11111110; data5=01000000; data6=01000000; data7 data0=11111100; data1=10000010; data2=10000001; data3=10000010; data4=11111100; data5=10000000; data6=10000000; data7 data0=11111001; data1=00000101; data2=00000010; data3=00000100; data4=11111000; data5=000

23、00000; data6=00000000; data7 data0=11110011; data1=00001011; data2=00000100; data3=00001000; data4=11110000; data5=00000000; data6=00000000; data7 data0=11100111; data1=00010111; data2=00001000; data3=00010000; data4=11100000; data5=00000000; data6=00000000; data7 data0=11001111; data1=00101111; dat

24、a2=00010001; data3=00100001; data4=11000001; data5=00000001; data6=00000001; data7 data0=10011111; data1=01011111; data2=00100011; data3=01000011; data4=10000011; data5=00000011; data6=00000011; data7 data0=00111111; data1=10111111; data2=01000110; data3=10000110; data4=00000110; data5=00000110; dat

25、a6=00000110; data7 data0=01111111; data1=01111111; data2=10001100; data3=00001100; data4=00001100; data5=00001100; data6=00001100; data7 data0=11111111; data1=11111111; data2=00011000; data3=00011000; data4=00011000; data5=00011000; data6=00011000; data7 data0=11111110; data1=11111110; data2=0011000

26、0; data3=00110000; data4=00110000; data5=00110000; data6=00110000; data7 data0=11111100; data1=11111100; data2=01100000; data3=01100000; data4=01100000; data5=01100000; data6=01100000; data7 data0=11111000; data1=11111000; data2=11000000; data3=11000000; data4=11000000; data5=11000000; data6=1100000

27、0; data7 data0=11110000; data1=11110000; data2=10000000; data3=10000000; data4=10000000; data5=10000000; data6=10000000; data7 data0=11100000; data1=11100000; data2=00000000; data3=00000000; data4=00000000; data5=00000000; data6=00000000; data7 data0=11000000; data1=11000000; data2=00000000; data3=0

28、0000000; data4=00000000; data5=00000000; data6=00000000; data7 data0=10000000; data1=10000000; data2=00000000; data3=00000000; data4=00000000; data5=00000000; data6=00000000; data7CASE count ISWHEN 0= data0=11111110; data1=10000001; data2=10000001; data3=11111110; data4=11111110; data5=10000001; data6=10000001; data7 data0=10000001; data1=10000001; data2=11111110; data3=11111110;

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 社会民生


经营许可证编号:宁ICP备18001539号-1