eda课程设计_彩灯控制器.docx

上传人:rrsccc 文档编号:10416262 上传时间:2021-05-15 格式:DOCX 页数:23 大小:211.35KB
返回 下载 相关 举报
eda课程设计_彩灯控制器.docx_第1页
第1页 / 共23页
eda课程设计_彩灯控制器.docx_第2页
第2页 / 共23页
eda课程设计_彩灯控制器.docx_第3页
第3页 / 共23页
eda课程设计_彩灯控制器.docx_第4页
第4页 / 共23页
亲,该文档总共23页,到这儿已超出免费预览范围,如果喜欢就下载吧!
资源描述

《eda课程设计_彩灯控制器.docx》由会员分享,可在线阅读,更多相关《eda课程设计_彩灯控制器.docx(23页珍藏版)》请在三一文库上搜索。

1、.1.设计目的学习 EDA 开发软件和 MAX+plus的使用方法 ,熟悉可编程逻辑器件的使用,通过制作来了解彩灯控制系统。2.设计题目描述和要求1) 设计一个彩灯控制器 ,使彩灯(LED 管)能连续发出四种以上不同的显示形式;2)随着彩灯显示图案的变化,发出不同的音响声 。3)扩充其它功能 。3.设计原理3.1 方案论证这次的彩灯设计采用的是分模块来完成的,包括分频器 、计数器、选择器、彩灯控制器 。其中彩灯控制器是用来输出不同的花样,彩灯控制器的输出则是用一个 32 进制的计数器来控制 ,扬声器的输出时用不同的频率来控制,所以用了一个集成分频器来使输入的频率被分为几种不同的频率,不同频率的

2、选择性的输出则是用一个4 选一的选择器来控制 。基于上述的介绍本次的彩灯控制采用的模式 6 来进行显示 。.下载可编辑 .图 3-1-1模式 6 结构图3.2 模块设计1)集成分频器模块设计要求显示不同的彩灯的时候要伴随不同的音乐,所以设计分频器来用不同的频率控制不同的音乐输出。模块说明 :Rst:输入信号复位信号用来复位集成分频器的输出使输出为“0”,及没有音乐输出 。Clk:输入信号模块的功能即为分频输入的频率信号。.下载可编辑 .Clk_4、clk_6、 clk_8、clk_10:输出信号即为分频模块对输入信号clk 的分频,分别为 1/4 分频输出 、 1/6 分频输出 、1/8 分频

3、输出 、1/10 分频输出 。图 3-2-1集成分频器2)32 进制计数器模块32 进制模块用来控制彩灯输出模块,即确定彩灯控制器的不同的输出。Rst:输入信号复位信号用来复位 32 进制使其输出为 “00000 ”。Clk:输入信号用来给模块提供工作频率。Count_out4.0: 输出信号即为 32 进制计数器的输出 。图 3-2-2 32 进制计数器3)彩灯控制模块彩灯控制模块用来直接控制彩灯的输出,使彩灯表现出不同的花样。Rst:输入信号使彩灯控制模块的输出为“00000000 ”,即让彩灯无输出 。Input4.0: 输入信号不同的输入使彩灯控制模块有不同的输出即彩灯显示出不同的花样

4、 。.下载可编辑 .Output7.0: 输出信号直接与彩灯相连来控制彩灯。图 3-2-3彩灯控制模块4) 4 选 1 选择器模块Rst:输入信号复位信号使选择器的输出为“0”。In1 、in2 、 in3 、in4 :输入信号接分频器的输出。Inp1.0: 输入信号接 4 进制计数器的输出用来控制选择器的选择不同的输入选择不同的输出 。Output :输出信号直接接扬声器即输出的是不同的频率来控制扬声器播放音乐。图 3-2-4 4选 1 选择器5)4 进制计数器模块4 进制计数器作为选择器的输入来控制选择器选择不同的频率作为输出控制扬声器工作 。Clk:输入信号来为计数器提供工作频率。Rst

5、:输入信号复位信号使计数器的输出为 “00”。.下载可编辑 .图 3-2-5 4 进制计数器3.3 系统结构整个系统就是各个分模块组成来实现最后的彩灯控制功能,系统又两个时钟来控制一个是控制32 进制计数器即控制彩灯控制模块来实现彩灯的不同输出,另一个时钟为分频器的输入来进行分频处理,最后用来控制扬声器发出不同的音乐,具体分频处理的时钟的频率比实现彩灯控制的时钟频率要高。图 3-3-1系统功能模块.下载可编辑 .4.总结这次的 EDA 课程设计有一周的时间 ,在这一周的时间里我们充分合理的安排了自己的时间来使本次的课程设计能够顺利的完成,当然我们在本次的设计中并不是一帆风顺的 ,我们遇到了一些

6、的问题,例如我们开始时用的文本的方式用一个总的程序来完成,可以在设计的过程中我们发现程序编到后面变量越到很容易搞混淆同时各个进程间的联系也越来越模糊以至于后面我们自己都不知道程序的整体框图是什么,导致后面不能够继续下去,后面我们再一次对我们这次的设计题目进行了分析和整理,最后我和我的同伴决定采用分模块的方式来完成本次的课题设计,当然最重要的是分析各个模块间的关系。最后我们采用上面分析的结构框图。最后我们的设计很成功 ,仿真和硬件测试都是正确的,实现了我们的设计要求和目的。在这次设计中我们收获了很多,首先最直接的收获就是我们巩固了这门课程所学过的知识 ,把它运用到了实践当中 ,并且学到了很多在书

7、本撒和那个所没有学到的知识 ,通过查阅相关资料进一步加深了对EDA 的了 。总的来说 ,通过这次课程设计不仅锻炼了我们的动手和动脑能力,也使我懂得了理论与实际相结合的重要性 ,只有理论知识是远远不够的,要把所学的理论知识与实践相结合起来 ,才能提高自己的实际动手能力和独立思考的能力。在我们的共同努力和指导老师的指引下我们圆满的完成了彩灯控制器的设计,实现了设计目的。.下载可编辑 .6 附录一程序:-分频器模块-LIBRARY ieee;USE ieee.std_logic_1164.all;ENTITY fenpinqi ISPORT(clk,rst: IN std_logic;clk_10,

8、clk_4,clk_6,clk_8: OUTstd_logic);END fenpinqi;ARCHITECTURE cd OF fenpinqi ISbeginp1:process(clk,rst).下载可编辑 .variable a:integer range 0 to 20;beginif rst=1 thenclk_4=3 thena:=0;clk_4=1;elsea:=a+1;clk_4=0;end if;end if;end if;end process p1;p2:process(clk,rst)variable b:integer range 0 to 20;begin.下载可

9、编辑 .if rst=1 thenclk_6=5 thenb:=0;clk_6=1;elseb:=b+1;clk_6=0;end if;end if;end if;end process p2;p3:process(clk,rst)variable c:integer range 0 to 20;beginif rst=1 thenclk_8=7 thenc:=0;clk_8=1;elsec:=c+1;clk_8=0;end if;end if;end if;end process p3;p4:process(clk,rst)variable d:integer range 0 to 20;b

10、eginif rst=1 thenclk_10=9 thend:=0;.下载可编辑 .clk_10=1;elsed:=d+1;clk_10=0;end if;end if;end if;end process p4;end cd;-4选1选择器-LIBRARY ieee;USE ieee.std_logic_1164.all;ENTITY xzq4_1 ISPORT(rst:in std_logic;inp:in integer range 0 to 3;.下载可编辑 .in1,in2,in3,in4: In std_logic;output: OUTstd_logic);END xzq4_1

11、;ARCHITECTURE a OF xzq4_1 ISBEGINPROCESS (rst,inp)BEGINif(rst=1) then outputoutputoutputoutputoutputnull;.下载可编辑 .end case;end if;END PROCESS;END a;-彩灯控制模块-LIBRARY ieee;USE ieee.std_logic_1164.all;ENTITY caideng ISPORT(input: IN INTEGER RANGE 0 TO 31;rst:in std_logic;output: OUTstd_logic_vector(7 dow

12、nto 0);sm :out std_logic_vector(6 downto 0);END caideng;.下载可编辑 .ARCHITECTURE a OF caideng ISBEGINPROCESS (input)BEGINif rst=1 then output=00000000;smoutput=10000000;smoutput=01000000;smoutput=00100000;smoutput=00010000;smoutput=00001000;smoutput=00000100;smoutput=00000010;smoutput=00000001;smoutput=

13、00010000;smoutput=00110000;smoutput=00111000;smoutput=01111000;smoutput=01111100;smoutput=01111110;smoutput=11111110;smoutput=11111111;smoutput=10000001;smoutput=11000001;smoutput=11000011;smoutput=11100011;smoutput=11100111;smoutput=11110111;smoutput=11111111;smoutput=00001000;smoutput=00000001;smo

14、utput=00000010;smoutput=00000100;smoutput=00001000;smoutput=00010000;smoutput=00100000;smoutput=01000000;smoutput=10000000;smnull;end case;end if;end process;end a;-32进制计数器模块-LIBRARY ieee;USE ieee.std_logic_1164.all;ENTITY counter_32 ISPORT(clk,rst: IN std_logic;count_out: OUTinteger range 0 to 31);

15、.下载可编辑 .END counter_32;ARCHITECTURE a OF counter_32 ISBEGINPROCESS (rst,clk)variable temp:integer range 0 to 32;BEGINIF rst=1 THENtemp:=0;ELSIF (clkevent and clk=1) THENtemp:=temp+1;if(temp=32) thentemp:=0;end if;END IF;count_out=temp;END PROCESS;END a;.下载可编辑 .-4进制计数器模块-LIBRARY ieee;USE ieee.std_log

16、ic_1164.all;ENTITY counter_4 ISPORT(clk,rst: IN std_logic;count_out: OUTinteger range 0 to 3);END counter_4;ARCHITECTURE a OF counter_4 ISBEGINPROCESS (rst,clk)variable temp:integer range 0 to 32;BEGIN.下载可编辑 .IF rst=1 THENtemp:=0;ELSIF (clkevent and clk=1) THENtemp:=temp+1;if(temp=4) thentemp:=0;end if;END IF;count_out=temp;END PROCESS;END a;.下载可编辑 .下载可编辑 .7 附录二编译.下载可编辑 .7 附录三时序仿真.下载可编辑 .下载可编辑 .

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 社会民生


经营许可证编号:宁ICP备18001539号-1