毕业设计(论文)多功能电子温度计的设计.doc

上传人:PIYPING 文档编号:10600169 上传时间:2021-05-25 格式:DOC 页数:34 大小:63KB
返回 下载 相关 举报
毕业设计(论文)多功能电子温度计的设计.doc_第1页
第1页 / 共34页
毕业设计(论文)多功能电子温度计的设计.doc_第2页
第2页 / 共34页
毕业设计(论文)多功能电子温度计的设计.doc_第3页
第3页 / 共34页
毕业设计(论文)多功能电子温度计的设计.doc_第4页
第4页 / 共34页
毕业设计(论文)多功能电子温度计的设计.doc_第5页
第5页 / 共34页
点击查看更多>>
资源描述

《毕业设计(论文)多功能电子温度计的设计.doc》由会员分享,可在线阅读,更多相关《毕业设计(论文)多功能电子温度计的设计.doc(34页珍藏版)》请在三一文库上搜索。

1、毕业设计(论文)多功能电子温度计的设计 多功能电子温度计的设计摘 要本文设计的具有语音录放功能的电子温度计采用AT89S52作为核心器件实现对系统的自动控制采用单片机串行处理结构外界温度经AD590集成温度传感器采集温度变化转换为线性电压信号再经由OP07构成高精度低温漂的放大电路处理后作为AD570的模拟输入信号由AD570完成AD转换得到8位的数字信号送入单片机AT89S52AT89C52将采集到温度值在LED数码管上显示出来此外温度预制报警电路模块语音播放功能也由单片机AT89S52完成通过系统的设计与实现说明本设计方案切实可以能够完成题目所要求的基本功能部分并留有相应的接口为完成扩展功

2、能打下基础关键字单片机AD590 AD570 ISD25120ABSTRACTThis article designs has the pronunciation to disseminate news the function the clinical thermometer to use AT89S52 to realize as the core component to systems automatic control uses the monolithic integrated circuit serial processing structure The open air tem

3、perature after AD590 integration temperature sensor gathering the temperature change transforms into the linear voltage signal again amplifying circuit processing which floats after the OP07 constitution high accuracy low temperature takes AD570 the simulator input completes AD by AD570 to transform

4、 obtains 8 digital signals to send in the monolithic integrated circuit AT89S52 AT89C52 will gather the temperature value to demonstrate on the LED nixietube In addition the temperature prefabrication the alarm circuit module the pronunciation broadcast function also completes by monolithic integrat

5、ed circuit AT89S52 With realizes through systems design showed that this design proposal practical may be able to complete the basic function part which the topic requests and leaves leeway the corresponding connection to complete the extended function to build the foundationkey words Monolithic int

6、egrated circuit AD590 AD570 ISD25120目 录1 绪论12 主要模块的方案论证与比较221温度传感器的选择222 AD转换器的选择223语音提示模块33 总系统设计方案431总系统方案设计描述432系统电路原理图44 主要电路设计与参数计算641电源电路模块642温度检测放大模块643 AD转换模块844 温度设制显示及报警电路模块845串行通信模块 946 语音播放模块10com放音简介10com ISD25120简介10com 芯片工作原理12com 硬件电路设计13com 本方法的特点135 系统软件设计 1451系统程序流程图146 系统测试1661 硬

7、件测试16com 单元模块的测试16com 系统整体测试16com 硬件与软件的联机测试1662 软件测试1663 硬件与软件的联机测试177 测试数据及实验结果1871 测试数据1872 测试结果分析1873 心得与体会18结 束 语19谢 辞20参考文献21附录一34绪论目前国内传统的体温测量是用医用玻璃液体温度计俗称体温表医用电子接触式温度计常用热敏电阻作为它的感温元件等插入人体内部舌下肛门或置于腋下通过与人体接触使温度计测出人的体温但这些体温计的缺点是测量的速度慢约2分钟以上玻璃水银温度计还易碎在使用时容易因消毒不彻底而引起交叉感染检测人体温度装置有效地避免国内传统的体温测量的缺点能够

8、在机场海关车站宾馆商场影院写字楼学校等人流量较大的公共场所快速准确没有交叉感染地测出人体温度虽然在日常生活中人们使用最广的水银体温计是根据水银等随温度升降的热胀冷缩的性质通过读取刻度值来判断温度值它有着诸多缺点传统温度计在使用时要和被测量者接触往往要等待较长时间以期让其充分受热当测量结束后还要将水银重新甩入水银泡中由于水银泡是由很薄的玻璃制成极易破碎而且其中的水银蒸汽对人体有着极强的毒害作用报纸上就曾多次刊登小孩因咬碎水银泡而误吞水银造成中毒的事件可见普通的水银体温计有着非常严重的安全隐患语音功能便可克服传统体温计的许多缺陷它不但可以以数字的方式显示出测量结果使测量过程变得直观而且可以根据需要

9、以语音播报出当前的温度值除此之外语音体温计还具有较高的灵敏度可以在几秒钟内测得结果且寿命长是较为理想的测温仪器主要模块的方案论证与比较21 温度传感器的选择方案一采用热敏电阻热敏电阻价格便宜对温度灵敏原理简单但线性度不好如不进行线性补偿对于本设计归一化输出的要求难于达到设计精度如要对非线性进行补偿则电路结构复杂难于调整故不采用方案二采用热电偶热电偶在测温范围内热电性质稳定不随时间变化而变化电阻温度系数小导电率高比热小但热电偶一般体积较大使用不方便价格相对较高作为一个智能体温计的温度传感器要求体积小使用方便便于携带故此方案不合适方案三采用集成温度传感器集成温度传感器一般且有具有线性好精度高灵敏度

10、高体积小使用方便等优点根据实验室现有材料可选取AD590AD590的测温范围为-55150能满足本设计的050度测量要求根据相关技术资料AD590线性电流输出为1AK正比于绝对温度AD590的电源电压范围为4V30V并可承受44V正向电压和20V反向电压因而器件反接也不会被损坏该方案能完全满足此设计的要求故采用此方案22 AD转换器的选择方案一选用AD574AD574的数字量位数可设成8位也可以设为12位且无需外接CLOCK时钟转换时间达到25s输出模拟电压可以是单极性的010V或020V也可以是双极性的5V或10V之间AD574精度高但与8位的单片机接口较复杂且价格昂贵考虑到体温计是对温度的

11、测量其响应时间的要求不高故不选用此方案方案二选用ICL7135这类芯片比较适合于低速测量仪器适用于精度高速度要求不高的系统设计中ICL7135的输出为动态扫描BCD码与单片机的接口较复杂且它的满量程输入为2V电压如在本设计中使用要进行衰减较难保证转换精度方案三选用AD570AD570数字量是8位转换时间为100s输入模拟电压为单极性的05V由于本设计的要求精度不是很高ADC570可以达到要求故选用此方案 1 AD570简介 AD570是8位逐次比较型单片集成模数转换芯片AD570将DA转换电路参考电压时钟脉冲比较器主次逼近寄存器及输出缓冲器集成在一块芯片上所以一般情况下只需要接上5V及-15V

12、电源加上模拟输入发出启动转换信号而不需要任何外部电路即可实现8位AD转换 AD570的引脚与国产型号CAD570兼容可以互换使用AD570的引脚与AD571相同 2 主要性能主次比较型I2L工艺制造非三态缓冲输出单极性或双极性模拟输入二进制码输出片内含有温度补偿的参考电压源和时钟源应用时无需任何外部元件分辨率8位线性误差02转换时间25s typ 3功能框图AD570的功能极限参数正电源电压V对DGND负电源电压V对DGND-16V模拟输入端电压对AGND控制输入端电压V最大允许功耗800m W 23 语音提示模块方案一通过AD转换器单片机存储器DA转换器实现声音信号的采样处理存储和实现首先将

13、声音信号放大通过AD转换器采样将语音模拟信号转换成数字信号并由单片机和处理存放到存储器中实现录音操作在录放音过程中由单片机控制DA转换器将存储器中的数据转化成声音信号此方案安装调试复杂集成度低方案二采用ISD25120语音录放集成电路这是一种永久记忆型语音录放电路录音时间为60S可重复录放10万次该芯片采用多电平直接模拟量存储专利技术时间为60S可重复录放10万次该芯片采用多电平直接模拟量存储专利技术每个采样值可直接存储在片内单个EEPROM单元中因此能够非常真实自然地再现语音音乐音调和效果声此外ISD25120还省去了AD和DA转换器其集成度较高内部包括前置放大器内部时钟定时器采样时钟滤波器

14、自动增益控制逻辑控制模拟收发器解码器和480K字节的EEPROMISD25120内部EEPROM存储单元均匀分为600行有600个地址单元每个地址单元指向其中一行每一个地址单元的地址分辨率为100msISD25120可不分段也可按最小段长为单位来任意组合分段因此选择方案二总系统设计方案31总系统方案设计描述根据题目要求将系统分为若干模块以单片机为核心完成多项功能图3-1系统框图系统框图如图3-1AD590把采集的外部温度信号转换成相应的电压再经过OP07运放放大后作为AD570的模拟输入信号AD570将此模拟信号转换成数字信号通过并口送入到单片机AT89S52单片机AT89S52把这些信号处理

15、后通过LED数码管显示出来同时单片机AT89S52还处理按键报警模块控制语音芯片报出相对应的温度值32 系统电路原理图图3-2系统电路原理图如图3-2所示该电路主要由电源电路温度检测放大电路AD转换电路单片机串行通信电路按键输入报警电路数码管扫描显示电路以及语音芯片电路组成4 主要电路设计与参数计算41 电源电路模块图4-1电源电路图如图4-1所示220V交流电经变压器市降压桥式整流电容滤波后由781278057905三端集成稳压管分别得到12V5V-5V电压给整个电路供电42 温度检测放大模块图4-2 a AD590温度检测放大电路图如图4-2 a 所示温度检测放大电路主要器件的作用OP1电

16、压跟随器OP2电压跟随器OP3差分放大电路AD590温度传感器SVR零位调整1AD590简介AD590是电流输出型的半导体温度感测组件主要特性如下1具有线性输出电流2宽广的操作温度范围 -55150 3宽广的工作电压范围 4V30V 4良好的隔离性AD590的包装与等效电路如图4-2 b 所示是TO-52型金属外壳包装他是两端子的半导体温度感测组件另有一端子是外壳接脚可接地以减少噪声干扰AD590如同一个随温度而改变输出电流的定电流源输出电流与外壳的开氏 K 温度成正比开氏温度与摄氏温度的单位相等0等于2732K100等于3732K当温度为0时AD590的输出电流是2732A而温度为100时输

17、出电流是3732A温度每升高1输出电流增加1A及温度系数为1A图4-2 b AD590包装与等效电路图AD590当温度增加1时其输出电流会增加1A即AD590的温度系数为1A所以在T 时的电流I1 T 为 I T I 0 1ACT而温度每变化1时V2的电压变化是为1AC10k 10mVC表示温度每增加1V2会增加10mV在0时V2就已经有电压存在其值为V 0 2732uA10k 2732V 则T 时V T V 0 10mVCT V2 0 2732V如图3所示OP3组成差动放大器电压增益为R2R1 100k20k 5零位调整SVR1则用于抵补0的电压值由差动放大器的公式V0 R2R1 V2-V1

18、 可得知 若调整SVR1使V1的电压为2732V则0时差动放大器的输出VO为0V也就是说若温度是在0至50之间则差动放大器的输出电压是在0V至5V之间亦即每01V的输出代表温度上升1与设计要求相符合2AD590的工作原理在被测温度一定时AD590相当于一个恒流源把它和530V的直流电源相连并在输出端串接一个1k的恒值电阻那么此电阻上流过的电流将和被测温度成正比此时电阻两端将会有1mVK的电压信号利用UBE特性的集成PN结传感器的感温部分核心电路其中T1T2起恒流作用可用于使左右两支路的集电极电流I1和I2相等T3T4是感温用的晶体管两个管的材质和工艺完全相同但T3实质上是由n个晶体管并联而成因

19、而其结面积是T4的n倍T3和T4的发射结电压UBE3和UBE4经反极性串联后加在电阻R上所以R上端电压为UBE因此电流I1为 I1UBERKTqlnnR对于AD590n8这样电路的总电流将与热力学温度T成正比将此电流引至负载电阻RL上便可得到与T成正比的输出电压由于利用了恒流特性所以输出信号不受电源电压和导线电阻的影响电阻R是在硅板上形成的薄膜电阻该电阻已用激光修正了其电阻值因而在基准温度下可得到1AK的I值AD590的内部电路 T1T4相当于T1T2而T9T11相当于T3T4R5R6是薄膜工艺制成的低温度系数电阻供出厂前调整之用T7T8T10为对称的Wilson电路用来提高阻抗T5T12和T

20、10为启动电路其中T5为恒定偏置二极管T6可用来防止电源反接时损坏电路同时也可使左右两支路对称R1R2为发射极反馈电阻可用于进一步提高阻抗T1T4是为热效应而设计的连接方式而C1和R4则可用来防止寄生振荡该电路的设计使得T9T10T11三者的发射极电流相等并同为整个电路总电流I的13T9和T11的发射结面积比为81T10和T11的发射结面积相T9和T11的发射结电压互相反极性串联后加在电阻R5和R6上因此可以写出 UBER62 R5I3R6上只有T9的发射极电流而R5上除了来自T10的发射极电流外还有来自T11的发射极电流所以R5上的压降是R5的23根据上式不难看出要想改变UBE可以在调整R5

21、后再调整R6而增大R5的效果和减小R6是一样的其结果都会使UBE减小不过改变R5对UBE的影响更为显著因为它前面的系数较大实际上就是利用激光修正R5以进行粗调修正R6以实现细调最终使其在250之下使总电流I达到1AK如图4-3AD570把从放大电路传送过来的模拟信号转变成数字信号并行传送给单片机的P0口让单片机处理图4-3 AD转换电路图44 温度设制显示及报警电路模块如图4-4通过按键可以事先设定报警温度值当显示的温度值超过设定的温度值时单片机就会从INT0脚发出一连串脉冲驱动蜂鸣器发出报警声图4-4温度设制显示及报警电路图45 串行通信模块 如图4-5所示单片机1把温度值发送数据并控制语音

22、芯片报出当前的温度值图4-5串行通信电路图 语音播放模块语音播放模块如图4-6所示主要由单片机AT89S52与语音芯片ISD25120组成录音放音简介如图9所示首先通过麦克风向语音芯片ISD25120录入012345678910点度 等音符当单片机AT89S52接收到温度值时就会自动地去寻找相对应音符的地址并把这些音符通过扬声器播放出来com 录音电路及其原理录音电路如图4-6-2所示ISD25120既可以手动操作也可以单片机控制操作在这里我们采用手动操作方式地址发生电路用来控制A8-A2的地址端输入片选信号发生电路用来控制每一段的录音持续时间CE变低后允许进行录音操作芯片在CE的下降沿锁存地

23、址线的状态录音时由地址端提供起始地址录音持续到CE变高com 语音信号的存储 ISD25120可以进行多段信息处理它分为600段每段存储时间为02s因此ISD25120的每个地址对应的存储时间为02s手动操作很难达到这样的精度由于单片机的IO口有限为了在放音时减少作为地址信号的IO口我们把最低两位的地址置零A1A0 00把最高位的地址也置零A9 0这样手动控制的最小精度为024 08s在录音前先分配每段音的初始地址每段音的预留存储时间比实际存储的时间大约多2s以防止溢出情况的发生信息何时结束在录音时进行设定只要CE端上升沿到来录音就停止此时ISD2512O芯片会在内部的一个独立的EEPROM单

24、元内设置一个信息结束的标志EOM当由CE端脉冲出发放音时放音持续到EOM位为止ISD芯片存储阵列的每一行都可以独立寻址每一行中均匀地布置4个EOM定位点由于每行的寻址时间为02s故EOM的分辨率为50ms这样从信息结束到EOM信号输出的最大延时是50 msEOM上升沿实际上标志信息的结束因此语音在EOM处于低电平时仍继续从芯片输出而在上升沿时停止com 硬件电路设计 ISD器件选用录音时间为60s的ISD2560器件以单片机为处理机外接控制每个语音段录音开始与停止按键外部存储器EEPROM用于保存每个语音首地址ISD的外围电路及其与单片机连接的硬件电路如图9所示ISD2560与AT89S52的

25、接口部分包含输入地址线A0A9片选CECE 0选中ISD芯片芯片低功耗状态控制PD录放音控制选择PRPR 0为录音PR 1为放音录放音结束信号输出EOM将它作为AT89S51外部中断0的输入信号放音时通过它告知本语音段结束便于单片机立即播放另一个语音段com 本方法的特点能进行在系统现场录音随录随放修改语音方便修改录音内容时可以从其中任意一段开始修改其后的所有录音内容不必从第一段开始全部修改这对一些需要厂家固定一些语音段的系统很有好处将固定的语音段放置在前面的段落中允许用户录制的放在后面用户修改录音内容时只需修改后面的语音段即可不影响厂家录制的语音分段灵活单片ISD2560可分1600个段若多

26、片级联还可更多各个录音段的长度任意只要总录音时间在所用器件的总时间之内即可5 系统软件设计51 系统程序流程图图5-1单片机AT89S52程序主流程图 如图5-1单片机AT89S52为主机负责温度显示按键扫描BCD码转换以定时器定时1ms每定时100次即1秒钟就启动AD转换BCD码转换 负责温度显示按键扫描BCD码转换的程序如下Project Name智能体温计程序_主机Designed ByscDaiDate cominclude include include define uchar unsigned chardefine uint unsigned intuchar code dis_

27、code10 0xc00xf90xa40xb001230x990x920x820xf80x800x90 456789offuchar data set_data3 0x000x070x03 预设370度uchar data ad_data AD转换结果uchar data dis_buf3 0x000x000x00 显示缓冲区uchar data bcd_buf3 0x000x000x00 十进制BCD码转换存放区uchar data TIMER0_NUMbit TIMER0_flagbit data set_flag 0sbit ALE P24sbit OE P25sbit START P2

28、6sbit EOC P27sbit P30 P30sbit select0 P37sbit select1 P36sbit select2 P35sbit K1 P20sbit K2 P21sbit K3 P22sbit K4 P23sbit bep P33sbit SET_IND P34sbit P17 P17 小数点uint data temp函数声明void delay1ms uchar t void adc void void BCD void void keyscan void void send_str void void beep void void display void 按

29、键蜂鸣函数void beep2 void uchar data jkfor j 26j 0j- for k 254k 0k- bep bep bep 1 蜂鸣报警void beep void uchar data jkfor j 100j 0j- for k 254k 0k- bep 0 bep 1 关蜂鸣器 定时器延时AD转换BCD码转换电路的程序如下延时1ms函数void delay1ms uchar t uchar jkfor j 0j tj for k 0k 120k AD转换函数void adc void ALE 1_nop_ _nop_ ALE 0START 1_nop_ _nop

30、_ START 0_nop_ _nop_ _nop_ _nop_ while EOC 0 等待转换结OE 1ad_data P0 读入转换数OE 0 十进制BCD码转换函数void BCD void bcd_buf2 ad_data51 十位temp ad_data51 temp temp10 bcd_buf1 temp51 个位temp temp51 temp temp10bcd_buf0 temp51 小数位if bcd_buf2 set_data2 报警值检测beep else if bcd_buf2 set_data2 if bcd_buf1 set_data1 beep else i

31、f bcd_buf1 set_data1 if bcd_buf0 set_data0 beep 键盘扫描函数void keyscan void uchar data mif K1 0 K1设置键 for m 0m 100m 延时11msdisplay if K1 0 beep2 按键时响一声set_flag set_flag 设置标志位取反 if set_flag 1 若设置键按下则检测K2-K4 SET_IND 0 设置灯亮if K2 0 K2十位加1 for m 0m 100m 延时11msdisplay if K2 0 beep2 按键时响一声set_data2if set_data2

32、5 set_data2 0 if K3 0 K3个位加1 for m 0m 100m 延时11msdisplay if K3 0 beep2 按键时响一声set_data1if set_data1 10 set_data1 0 if K4 0 K4小数位加1 for m 0m 100m 延时11msdisplay if K4 0 beep2 按键时响一声set_data0if set_data0 10 set_data0 0 else SET_IND 1 显示函数void display void if set_flag 设置键按下则显示设置的数值 dis_buf0 set_data0dis_

33、buf1 set_data1dis_buf2 set_data2 else 否则显示温度值dis_buf0 bcd_buf0dis_buf1 bcd_buf1dis_buf2 bcd_buf2 P1 0xff 先关闭所有数码管P1 dis_codedis_buf0 小数显示代码传送到P1口select2 1select1 1select0 0 位选delay1ms 1 延时1msP1 dis_codedis_buf1 个位显示代码传送到P1口select2 1select1 0select0 1 P17 0delay1ms 1 延时1msP1 dis_codedis_buf2 十位显示代码传送

34、到P1口select2 0select1 1select0 1 delay1ms 1 延时1ms 串口发送一个字符函数void send_str void SBUF ad_data 串口发送AD转换温度值while TI 0 等待数据传送TI 0 清除数据传送标志 主函数void main void TMOD 0x21 定时器1工作于方式28位自动重载模式用于产生波特率定时器0工作于方式1产生1s的AD间隔时间TH0 15536256 T0定时50msTL0 15536256TH1 0xe1 波特率1000TL1 0xe1SCON 0x50 设定串行口工作方PCON 0x00 波特率不倍增TI

35、 0 清除发送中断标志EA 1 开总中断ET0 1 开T0中断ET1 0TR1 1 启动T1TR0 1 启动T0while 1 display keyscan if TIMER0_flag TIMER0_flag 0adc BCD send_str T0中断服务程序每秒钟ADC一次串口发送一次void timer0 void interrupt 1 TH0 15536256 重装初值TL0 15536256TIMER0_NUMif TIMER0_NUM 20 TIMER0_NUM 0TIMER0_flag 1 Project Name智能体温计程序_从机Designed ByscDaiDate

36、 cominclude include include define uchar unsigned chardefine uint unsigned intuchar data bcd_buf3 0x000x000x00 十进制BCD码转换存放区uchar code sound_add13 0x000x140x280x3c0x500x640x780x8c0xa00xb40xc80xdc0xf0 0123456789十点度存放地址uchar code sound_add13 0x000x0a0x140x1e0x280x320x3c0x460x500x5a0x640x6e0x78 01234567

37、89十点度存放地址uchar data order6 X十X点X度uchar ad_data 存放接收的AD转换数据uint TIMER_NUM 1分钟计时sbit EOM P20sbit PD P21sbit CE P22uchar iuint data temp十进制BCD码转换函数void BCD void bcd_buf2 ad_data51 十位temp ad_data51 temp temp10 bcd_buf1 temp51 个位temp temp51 temp temp10bcd_buf0 temp51 小数位 语音芯片报温度函数调用一次报告一次温度void sound_pla

38、y void PD 1_nop_ _nop_ PD 0 节电控制端接低电平正常工作for i 0i 6i uchar data n 0P0 sound_addorderiCE 0 开始播放_nop_ _nop_ CE 1while EOM while EOM 0 _nop_ _nop_ 主函数void main void TMOD 0x21 定时器1工作于方式28位自动重载模式用于产生波特率定时器0工作与方式1用于1s定时TH1 0xe1 波特率为1000TL1 0xe1SCON 0x50 设定串行口工作方式PCON 0x00 波特率不倍增RI 0 清除接收中断标志EA 1 开总中断ET0 1

39、 开T0中断ES 1 开串口中断PT0 1 T0高优先级TR0 1 启动T0TR1 1 启动定时器1while 1 BCD order0 bcd_buf2order1 0x0aorder2 bcd_buf1order3 0x0border4 bcd_buf0order5 0x0c 串口中断服务程序void serial void interrupt 4 RI 0ad_data SBUFRI 0 T0中断服务程序void timer0 void interrupt 1 TH0 0xec 10ms定时TL0 0x78TIMER_NUMif TIMER_NUM 12000 一分钟 TIMER_NUM 0sound_play 6 系统测试61 硬件测试com 单元模块的测试 A电源测试用数字万用表电压档测量各三端稳压管输出的电压值是否正

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 科普知识


经营许可证编号:宁ICP备18001539号-1