基于VHDL交通灯的系统设计任务书(优秀毕业论文).doc

上传人:scccc 文档编号:11112138 上传时间:2021-07-01 格式:DOC 页数:2 大小:36KB
返回 下载 相关 举报
基于VHDL交通灯的系统设计任务书(优秀毕业论文).doc_第1页
第1页 / 共2页
基于VHDL交通灯的系统设计任务书(优秀毕业论文).doc_第2页
第2页 / 共2页
亲,该文档总共2页,全部预览完了,如果喜欢就下载吧!
资源描述

《基于VHDL交通灯的系统设计任务书(优秀毕业论文).doc》由会员分享,可在线阅读,更多相关《基于VHDL交通灯的系统设计任务书(优秀毕业论文).doc(2页珍藏版)》请在三一文库上搜索。

1、贵州大学科技学院本科毕业论文(设计)任务书学生信息学号062004100355姓名张凯专业电子信息科学与技术教师信息姓名陈茜职称任务书 发出时间 年 月 日论文(设计)题目基于VHDL交通灯的系统设计论文(设计)起止时间 2009 年 12月31 日 2010 年 5 月 21 日 共需周数20主要内容: 本文介绍的是基于EDA技术设计交通灯系统的一种方案。EDA技术的一个重要特征就是使用硬件描述语言(HDL)来完成系统的设计文件,应用VHDL的数字电路实验降低了数字系统的设计难度,这在电子设计领域已得到设计者的广泛采用。本设计就是针对交通信号灯控制器的设计问题,提出了基于VHDL语言的交通信

2、号灯系统的硬件实现方法。主要要求: 本设计就是针对交通信号灯控制器的设计问题,提出了基于VHDL语言的交通信号灯系统的硬件实现方法。本设计主要由控制模块、,计数模块和译码显示模块和主程序模块构成。控制模块、,计数模块和译码显示模块和主程序模块的功能及其详细信息。并且利用Max Plus对应用程序进行了仿真,并给出了相应的仿真结果。预期目标: 设计者的原始描述是非常简练的硬件描述,经过EDA工具综合处理,最终生产付诸生产的电路描述或版图参数描述的工艺文件。整个过程通过EDA工具自动完成,大大减轻了设计人员的工作强度,提高了设计质量,减少了出错的机会。VHDL语言可读性好。VHDL技能被人容易读懂

3、又能被计算机识别,作为技术人员编写的源文件,即使计算机程序、技术文档和技术人员硬件信息交流文件,又是签约双方的合同文件。VHDL语言中设计实体(Design Entity)、程序包(Package)、设计库(Library),为设计人员重复利用别人的设计提供了技术手段。重复利用他人的IP模块和软核(Soft Core)是VHDL的特色,许多设计不必个个都从头再来,只要在更高层次上把IP模块利用起来,就能达到事半功倍的效果。计划进程: 2009年12月1日至2009年12月31日,在论文指导教师的指导下,收集相关文献资料。2010年1月2日至2月28日,在指导教师指导下,进行系统分析,划分模块。2010年3月1日至3月31日,在指导教师指导下,对各个模块进行程序书写。2010年4月1日至4月31日,在指导教师指导下,对各个模块进行仿真。2010年5月,修改并撰写论文。主要参考文献:1.侯伯亨, 顾新. V HDL硬件描述语言与数字逻辑电路设计M .西安:西安电子科技大学出版社, 1999.22.高书莉,罗朝霞.可编程逻辑设计技术及应用M.北京:人民邮电出版社,2005.9. 3.蒋璇,臧春华. 数字系统设计与PLD应用技术M.北京:电子工业出版社,2006.1. 4.徐志军. CPLD/FPGA的开发与应用M.北京:电子工业出版社, 2005.1.注:各项栏目空格不够,可自行扩大。

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 社会民生


经营许可证编号:宁ICP备18001539号-1