数字电子技术课程设计报告-病床呼叫系统.docx

上传人:scccc 文档编号:11179403 上传时间:2021-07-10 格式:DOCX 页数:16 大小:451.20KB
返回 下载 相关 举报
数字电子技术课程设计报告-病床呼叫系统.docx_第1页
第1页 / 共16页
数字电子技术课程设计报告-病床呼叫系统.docx_第2页
第2页 / 共16页
数字电子技术课程设计报告-病床呼叫系统.docx_第3页
第3页 / 共16页
数字电子技术课程设计报告-病床呼叫系统.docx_第4页
第4页 / 共16页
数字电子技术课程设计报告-病床呼叫系统.docx_第5页
第5页 / 共16页
点击查看更多>>
资源描述

《数字电子技术课程设计报告-病床呼叫系统.docx》由会员分享,可在线阅读,更多相关《数字电子技术课程设计报告-病床呼叫系统.docx(16页珍藏版)》请在三一文库上搜索。

1、数字电子技术课程设计报告题目:病床呼叫系统班 级: 姓 名: 指 导 老 师: 组 号: 目录1、设计任务及要求.32、方案设计.33、各单元电路设计与分析.4 3.1、开关控制及指示灯与数码管显示部分.4 3.2、优先编码部分.5 3.3、5s闪烁呼叫模拟部分.64、总体电路原理图及元器件清单.7 4.2、电路原理图.8 4.2、原件清单.105、电路仿真及仿真结果分析.116、电路功能测试方案与测试结果.127、作品照片图.128、结论与心得体会.139、参考文献.13病床呼叫系统1、设计任务及要求: 用中小规模集成电路设计病床呼叫系统逻辑电路的具体要求如下:(1)分别用15个开关模拟5个

2、病房的呼叫输入信号,5个呼叫优先级不同。(2)用一个数码管显示呼叫信号的号码;没信号时显示0;有多个信号呼叫时,显示优先级最高的呼叫号(其他呼叫用指示灯显示)。(3)凡有呼叫发出5s的呼叫声(可通过LED灯5s闪烁模拟)。(4)当护士接收到信号,按下复位键时数码管被清零,而且不能影响下次呼叫的进行。2、方案设计:临床求助呼叫是传送临床信息的重要手段,病房呼叫系统是病人请求值班医生或护士进行诊断或护理的紧急呼叫工具,可将病人的请求快速传送给值班医生和护士,并在值班室的监控屏幕上留下准确完整的记录,是提高医院和病房护理水平的必备设备之一。监控机构一般放置在护士值班室内,当病床有呼叫请求时进行声光报

3、警,并在显示器上显示病床的位置。呼叫源(按钮)放在病房内,病人有呼叫请求时,按下请求按钮,向值班室呼叫,并点亮呼叫指示灯。监控机构和呼叫源之间通过数据线连在一起。本设计为一个模拟系统,通过各类芯片的组合来实现该系统的基本功能,完成各项操作。病床呼叫系统能对5张病床进行统一监护,能够对不同优先级的呼叫进行优先响应处理,对发出呼叫的病床有指示灯进行提示,还能显示优先级最高的呼叫号,并发出5s的呼叫声(用一个闪烁的指示灯模拟),当护士接收到信号,按下复位键时显示管被清零。护士站通过对设计的要求分析,可以将电路大致分为四大模块,触发清零模块、编码及译码器译码模块、滤除优先级及显示模块、计数器5s警报模

4、块,通过对四大模块的整合,即可基本达到实验要求,实现系统功能。如图1所示,该系统分为三大部分:左边方框是病房的呼叫输入端,包括5个呼叫按钮;右边方框为护士站的呼叫处理端,包括5个指示灯、一个数码管显示器和一个响应复位开关;中间是优先级编码和计数功能模块。制作时分为病人模块和护士模块。1号灯病房输入1优先编码和计数功能2号灯闪烁灯提示输入23号灯输入34号灯输入4响应复位开关5号灯输入5图1 病床呼叫系统设计框图3、各单元电路设计与分析:3.1、开关控制及指示灯与数码管显示部分由5个输入高低电平的开关分别代表5个病床的呼叫按钮,还需要一个开关作为复位端即清零端。将指示灯及复位开关放置在护士模块上

5、面。利用74LS148进行优先编码之后,再利用74LS00的与非门连接到74LS48上面,是输出高电平有效的译码器,用74LS48连接数码管进行显示对应的病床号。5个开关输入以后可以经5个D触发器输入到74LS148,5个输入有优先级,从1到5的优先级依次降低,1到5开关连接到相应的指示灯。开关直接控制指示灯亮,而更高一级的显示则需要优先编码器来实现输出。由于74LS74属于TTL芯片,内部自带上拉电阻,所以用开关触发的时候需要加下拉电阻,阻值为470,D触发器由上升沿触发,开关一边接高电平,一边接触发端,按下开关时,触发,使灯泡亮。D触发器复位端低电平有效,将所有触发器的复位端连在一起接另一

6、个开关的一端,该开关另一端接高电平,在不需要复位的时候复位端一直无效。数码管显示:3.2、优先编码部分优先编码模块的逻辑电路如图2。如图2所示,时钟端单独接脉冲;5个开关输入连接到优先编码器的8个输入端的其中5个即可,分别为I2、I3、I4、I5、I6,经过74LS148的优先级选择后从A0、A1、A2输出到数码管显示电路显示病床号.表1给出了74LS148输入、输出对应的真值表。表1 74LS148输入、输出对应真值表输入输出I6I5I4I3I2A2A1A0XXXXXXXXX0010XXX01011XX011100X011110101111110图2 优先编码模块逻辑电路图3.3、5s闪烁呼

7、叫模拟部分5s闪烁呼叫模拟部分逻辑电路图如图3所示。用开关控制脉冲的输入:5个开关依次连入或门,脉冲再与开关部分连入与门,再将可控制的脉冲接入74LS161的脉冲输入端,实现当K1K5任意一个或多个为高电平时有脉冲输入到74LS161中,全部为低电平时没有脉冲输入。为了实现指示灯闪烁5s的功能,当Qd Qc Qb Qa为0101时,把QaQc端接至与非门再连接至T端,实现模五计数器,使计数器可以保持在0101,再将QaQc接入的与非门与可控脉冲连接到与门,这样可以保证Qd Qc Qb Qa从0000到0101每变一次指示灯闪烁一下,共闪烁5次后停止。利用555来提供10hz的脉冲,如图所示,由

8、3输出,电阻均为1k,电容为47uf。图3 模五计数器4、总体电路原理图及元器件清单: 4.1、电路原理图将上述各功能模块综合起来得到整个系统的逻辑电路图,如图4所示。图4和图5 病房及护士站模拟电路图 4.2、原件清单:原件名称描述标号数量价格按键轻触开关6数码管1PCB板274LS148174LS161174LS74374LS48174LS001排针排线45、电路仿真及仿真结果分析: 仿真结果比较理想。6、电路功能测试方案与测试结果: 给电路接入电源,然后运行电路,当按下一个按键开关时,对应的灯泡会亮并且会显示数字,另一个灯会闪烁五次,当按下复位开关时,灯泡都会熄灭,显示数字07、作品照片

9、图:8、结论与心得体会: 在画图的过程中,忘记接所有芯片的VCC,GND了,这是严重的错误,而且有几个与非门接错了,整体布局不是很好导致有很多跳线。做完后发现所有灯都一起亮了,说明开关没有起到作用,也就是没有触发,经过思考后发现是由于没有加下拉电阻,当加了下拉电阻之后,五个灯泡正常工作了,但是数码管还没有正常工作,检查后发现74LS48与数码管连接错了,在认真的查了芯片的引脚后,进行了改正,这时数码管有了显示,但是不是正确的数字,再次检查后发现数码管并不是共阴极数码管,这是由于在使用没有测试导致的错误,换为共阴极数码管后整个东西能够正常使用了。 通过这次课程设计的画图到作出实物,我收获了许多东西,画图时首先就应该连好VCC,GND,用某一个芯片时先要搞清楚其引脚的作用,不能凭想象连接。在检查的过程中要仔细分析每个引脚到底应该输出什么电平,是否出错。在画图没有错误的条件下,还要保证布局合理。9、参考文献:1、康华光.电子技术基础 数字部分(第五版).高等教育出版社.2、武俊鹏 刘书勇 付小晶.数字电路实验与实践教程.北京 清华大学出版社.16

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 社会民生


经营许可证编号:宁ICP备18001539号-1