FPGA方向课程设计指导书.docx

上传人:scccc 文档编号:12288849 上传时间:2021-12-03 格式:DOCX 页数:20 大小:497.57KB
返回 下载 相关 举报
FPGA方向课程设计指导书.docx_第1页
第1页 / 共20页
FPGA方向课程设计指导书.docx_第2页
第2页 / 共20页
FPGA方向课程设计指导书.docx_第3页
第3页 / 共20页
FPGA方向课程设计指导书.docx_第4页
第4页 / 共20页
FPGA方向课程设计指导书.docx_第5页
第5页 / 共20页
点击查看更多>>
资源描述

《FPGA方向课程设计指导书.docx》由会员分享,可在线阅读,更多相关《FPGA方向课程设计指导书.docx(20页珍藏版)》请在三一文库上搜索。

1、2011年12月1日电子信息类基础专业课程主要包括模拟电子技术、数字电子技术、微机原理与应用、 单片机原理与应用、信号与系统、数字信号处理、EDA基础等。电子系统设计方向在 原有基础课程上增加了集成电路原理与应用、FPGA系统设计与应用及锁相技术等专业课程。数字信号处理是电子信息工程、通信工程专业的一门重要的理论性和实践性都很强的专业必修课, 目的是让学生了解数字信号处理的基本理论、基本分析方法、基本算法和基本实现方法。包括离散时间LSI 系统的理论及其时域、频域分析方法,DFT算法,FFT算法,以及HR、FIR滤波器的结构和设计方法, 为后续课程的学习和工作实践打下理论基础。集成电路原理与应

2、用是一门应用性较强的专业课程,是研究模拟和数字集成电路的器件和电路结 构、工作原理以及设计方法的学科,本课程应用半导体器件等基础知识,在模拟电子技术与数字电子技术等课 程内容的基础上进一步深入学习,目的是培养学生掌握集成电路的设计方法,培养学生分析、解决电子系统基 本问题和能力。FPGA系统设计与应用是一门发展十分迅速、应用非常广泛的前沿交叉学科,由于高密度现场可编 程逻辑器件(CPLD/FPGA)和专用集成电路的飞速发展,传统的设计技术已经不适合大规 模及超大规模集 成电路,FPGA技术正是为了适应现代电子产品设计的要求,吸收多学科最新成果而形成的一门新技术。课 程目的是使学生了解FPGA的

3、内部原理、结构,并学会利用EDA开发工具进行电子系统的设计,以及在信 号、通信领域方面的应用。为了帮助学生深入理解和消化基本理论、进一步提高综合应用能力并且锻炼独立解决问题的能力,我 们将数字信号处理、集成电路原理与应用和FPGA系统设计与应用几门课程融合在一起开设的 FPGA综合实验课程设计。由学生在所学知识的基础上,查阅相关资料,自主设计,通过实验装置进行实现, 并对结果进行综合分析,寻找最佳设计方案。目录课程设计要求及注意事项课程设计内容3.1 课程设计题目及要求3.1.1 设计题目:基于FPGA的FIR滤波器设计 63.1.2 设计要求63.2 课程设计组织形式 63.3 课程设计内容

4、3.3.1 数字滤波器简介73.3.2 FIR滤波器的基本结构73.3.3 数字滤波器的设计原理83.3.4 滤波器的性能指标93.3.5 FIR数字滤波器的设计方法103.3.6 利用FDATool工具设计FIR数字滤波器123.3.7 FIR数字滤波器的实现方法134教学教材与参考文献181概述为了帮助学生深入理解和消化基本理论、进一步提高综合应用能力并且锻炼独立解决问题的能力,我们将数字信号处理、集成电路原理与应用和FPGA系统设计与应用几门课程融合在 一起开设的FPGA综合实验课程设计。主要从以下两方面考虑:-:设计内容突出FPGA及信号处理的理论和技术的综合应用。如在数字滤波实验中,

5、要求学生能够熟悉数字滤波器的基本原理,并能运用VHDL语言实现数字滤波。并采用 MATLAB八件实现的结果与运用VHDL实现的仿真结果进行,来验证其正确性。最后通过实验装置进行硬件实现,并对结果进行 综合分析。二:如何将数字信号处理、集成电路原理与应用和 作PGA系统设计与应用三门课程有 机的结合起来,设计一实际的系统。由学生在所学知识的基础上,查阅相关资料,自主设计,通 过实验装置 进行实现,并对结果进行综合分析,寻找最佳设计方案。希望学生通过完成一个利用 FPGA实现信号处理相关的课题的理论设计、程序设计和实验调试 任务,提高他们分析解决实际问题的能力。本设计要求运用课程所学知识,进行算法

6、实现、Matlab仿 真,VHDL程序设计,FPGA开发平台上调试,加深对FPGA在信号处理知识领域的理解与运用,培养对 FPGA系统的开发技能。112课程设计要求及注意事项1 .设计过程以小组为单位,各组设一个组长,负责组织和协调本小组的讨论、任务分工等;2 .设计过程必须在本组内独立完成,不得跨组参考或抄袭,避免方案出现雷同;3 .设计书一律采用A4纸打印,用统一封面装订;4 .课程设计原则上在3周内做完;5 .最后一周周五进行优秀设计方案评选,在各组推选代表进行方案介绍的基础上,推选出个优秀 2-3 设计方案。6 .学有余力的学生在完成必做设计内容的基础上,可对内容进一步展开设计,以提高

7、综合应用能力, 锻炼独立解决问题的能力。3课程设计内容3.1 课程设计题目及要求3.1.1 设计题目:基于FPGA的FIR滤波器设计3.1.2 设计要求利用所学知识,采用MATLAB和FPGA相目结合完成FIR滤波器的设计仿真。采用直接法或分布 式算 法实现FIR数字低通滤波器的设计。比较两种算法的优缺点,选择其中一种算法,得出用它来实现FIR滤波 器的硬件结构,对其实现方式进行研究,分别采用合适的方法进行仿真,并用Matlab对实现的结果进行比较。 设计指标:1)类型:FIR低通;2)系统采样频率:Fs=10kHz ;3)截止频率Fc =1kHz ;3)输入序列位宽为8位的有符号数(最高位为

8、符号位);4)输出结果保留8位;5)窗口类型为kaiser窗,=0.5 ;6)滤波器长度为N=16 o3.2 课程设计组织形式课程设计过程按分组的方式进行,由指导教师向学生发放有关的课程设计背景资料,并向学生讲述课程 设计的方法、步骤和要求,设计过程采取课堂集中辅导,分散设计的方式进行。课程设计按12个人为一 组,要求在小组内分工协作、充分讨论、相互启发的基础上形成设计方案,课程设计结束要求提交一份课程设 计报告书,必要时可要求各小组选出一个代表,进行课程设计方案演示和答辩,评出若干优秀设计成果。3.3 课程设计内容3.3.1 数字滤波器简介滤波器是用来进行频率选择或频率分辨操作的线性时不变系

9、统的通称。数字滤波器通常都是应用于修正或改变时域或频域中信号的属性。最为普通的数字滤波器就是线性时间不变量(1i neartime - invariant , LT。滤波器。一个简单的数字滤波系统如图1-1所示。图中,x(t)为模拟信号,经过A/D转换器后变为一个有着先后顺序的数字序列x(n)o然后x(n)通过数字滤波系统H(z),即得到数字滤波器的输出y(n)o H(z)为该数字滤波系统的单位脉冲响应h(n)的Z变换,即:H (z) h(n)z(1-1)n若h(n)为无限长序列,则得到的数字滤波器为IIR数字滤波器,又称递归滤波器;反之,若h(n)为有限长序列,则得到的数字滤波器为FIR滤波

10、器,也称非递归滤波器。一个线形时不变因果滤波器可表示为: N 1H (z) h(n)zn(1-2)nO其中N为h(n)的长度,即滤波器的长度。滤波器的阶数为N-1ox(t)x(n)y(n)A/D H(z) >图3-1数字滤波系统HR滤波器主要是基于对模拟滤波器如巴特沃斯滤波器、椭圆滤波器等的幅频响应进行逼近,而其相频响应是非线性的。 与HR滤波器不同,FIR滤波器可以把相位特性设计成线性。这使得FIR数字滤波器在信号无失真传输、数据通信、图像传输与处理、语音信号处理等有线性相位要求的领域应用广 泛。FIR滤波器的优点是软硬件实现结构简单,不用考虑系统的稳定性问题;缺点是实现较高性能的频率

11、响应 需要较高的滤波器阶数。3.3.2 FIR滤波器的基本结构FIR滤波器的单位抽样响应为有限长度,一般采用非递归形式实现。通常的 FIR数 字滤波器有横截性和级联型两种。FIR滤波器实现的基本结构有:(1) FIR滤波器的横截型结构表示系统输入输出关系的差分方程可写作:(2-3)y(n) h(m)x( n m)m 0直接由差分方程得出的实现结构如图2-2所示:x(n) 尹 1 z" n ” ->j)h(0 尸 h(1Fh (为 h(N-2)AAh(N-1)11a一图32横截型(直接型、卷积型)若h(n)呈现对称特性,即此FIR滤波器具有线性相位,则可以简化加横截型结构,下面分

12、情况讨论:图3-3 N为奇数时线性相位FIR滤波器实现结构图3-4 N为偶数时线性相位FIR滤波器实现结构(2) FIR滤波器的级联型结构将H(Z)分解成实系数二阶因子的乘积形式:2b2kz(2-4)N 1 N1H (z) h(n)zbokbkZNOk 1这时FIR滤波器可用二阶节的级联结构来实现,每个二阶节用横截型结构实现。如图所示:J®图25、FIR滤波器的级联结构这种结构的每一节控制一对零点,因而在需要控制传输零点时可以采用这种结构3.3.3 数字滤波器的设计原理数字滤波器根据其冲激响应函数的时域特性,可分为两种,即无限长冲激响应(IIR)滤波器和有限长冲激响应(FIR)滤波器

13、。IIR滤波器的特征是,具有无限持续时间冲激响应。这种滤波器一般 需要用递归 模型来实现,因而有时也称之为递归滤波器。FIR滤波器的冲激响应只能延续一定时间,在工程实际中可以采用递归的方式实现,也可以采用非递归的方式实现。数字滤波器的设计方法有多种,如双 线性变换法、窗函数设计法、插值逼近法和Chebyshev逼近法等等。随着 MATLAB软件尤其是MATLAB的信号处理工作箱的不断完善,不仅数字滤波器的计算机辅助设计有了可能,而且还可以使 设计达到最优化。数字滤波器设计的基本步骤如下: 确定指标在设计一个滤波器之前,必须首先根据工程实际的需要确定滤波器的技术指标。在很多实际应用中,数 字滤波

14、器常常被用来实现选频操作。因此,指标的形式一般在频域中给出幅度和相位响应。幅度指标主要以两种方式给出。第一种是绝对指标。它提供对幅度响应函数的要求,一般应用于FIR滤波器的设计。第二种指标是相对指标。它以分贝值的形式给出要求。在工程实际中,这种指标最受欢迎。对 于相位响应指标形式,通常希望系统在通频带中具有线性相位。运用线性相位响应指标进行滤波器设计具有如 下优点:只包含实数算法,不涉及复数运算;不存在延迟失真,只有固定数量的延迟;长度为N的滤 波器(阶数为N-1),计算量为N/2数量级。因此,本文中滤波器的 设计就以线性相位FIR滤波器的设计为例。 逼近确定了技术指标后,就可以建立一个目标的

15、数字滤波器模型。通常采用理想的数字滤波器模型。之后,利用数字滤波器的设计方法,设计出一个实际滤波器模型来逼近给定的目标。 性能分析和计算机仿真上两步的结果是得到以差分或系统函数或冲激响应描述的滤波器。根据这个描述就可以分析其频率特性 和相位特性,以验证设计结果是否满足指标要求;或者利用计算机仿真实现设计的滤波器,再分析滤波结果来判断。3.3.4 滤波器的性能指标我们在进行滤波器设计时,需要确定其性能指标。一般来说,滤波器的性能要求往往以频率响应的幅度 特性的允许误差来表征。以低通滤波器特性为例,频率响应有通带、过渡带及阻带三个范围。图3-6低通滤波器频率响应幅度特性的容限图在通带内: 1ApH

16、®) 1c在阻带中:H(eJ)Astst,其中c为通带截止频率st为阻带截止频率, Ap为通带误差Ast为阻带误差。与模拟滤波器类似,数字滤波器按频率特性划分为低通、高通、带 通、带阻、全通等类型,由于数字滤波器的频率响应是周期性的,周期 为2no各种理想数字滤波器的幅度频率响应如图所示:门乂氏通(b)高通py牌俎全通图3-7各种理想数字滤波器的幅度频率响应335 FIR数字滤波器的设计方法IIR滤波器的优点是可利用模拟滤波器设计的结果,缺点是相位是非线性的,若需要线性相位,则要用全通网络进行校正。FIR滤波器的优点是可方便地实现线性相位。FIR滤波器单位冲激响应h(n)的特点:其单

17、位冲激响应h(n)是有限长(1 n N 1),系统函数为:N 1H(z) h(n )zn no(2-12)在有限Z平面有(N-1)个零点,而它的(N-1)个极点均位于原点z=0处。Fir滤波器线性相位的特点:如果FIR滤波器的单位抽样响应h(n)为实数,而且满足以下任一条件: 偶对称 h(n) = h(N-1-n) 奇对称 h(n) = -h(N-1-n)其对称中心在n=(N-1)/2处,则滤波器具有准确的线性相位。 窗函数设计法:一般是先给定所要求的理想滤波器频率响应H d (0 ),由Hd (ei)导出hd (n),我们知道理想滤波器的冲击响应hd(n)是无限长的非因果序列,而我们要设计的

18、hd(n)是有限长的FIR滤波器,所以要用有限长序列hd(n)来逼近无限长序列hd(n),设:h(n)Hd® )eid(2-13) 常用的方法是用有限长度的窗函数(n)来截取hd(n)即:h(n) (n)hd(n)c1这里窗函数就是矩形序列 Rn (n),加窗以后对理想低通滤波器的频率响应将产生什么样的影响 呢?根据在时域是相乘关系,在频域则是卷积关系:H(ei)Hd(ei )Wr坪)d(2-15)其中,WR(ei)为矩形窗谱,H(d )是FIR滤波器频率响应通过频域卷积过程看H(d)的幅度函数H(3)的起伏现象,可知,加窗处理后,对理想矩形的频率响应产生以下几点影响:(1)使理想频

19、率特性不连续点处边沿加宽,形成一个过渡带,其宽度等于窗的频率响应的主瓣宽度。(2)在截止频率的两边的地方即过渡带的两边,出现最大的肩峰值,肩峰的两侧形成起伏振荡,其 振荡幅 度取决于旁瓣的相对幅度,而振荡的多少,则取决于旁瓣的多少。(3)改变N只能改变窗谱的主瓣宽度,改变3的坐标比例以及改变的绝对值大小,但不能改变主瓣与旁瓣的相对比例(此比例由窗函数的形状决定)。(4)对窗函数的要求A.窗谱主瓣尽可能窄,以获取较陡的过渡带;B.尽量减小窗谱的最大旁瓣的相对幅度;即能量集中于主瓣,使肩峰和波纹减小,增大阻带的衰 减。师本采柱法2窗函数设计法是从时域出发,把理想的hd(n)用一定形状的窗函数截取成

20、有限长的h(n),来近似理想的hd(n),这样得到的频率响应H (ei)逼近于所要求的理想的频率响应Hd® )。频率抽样法则是从频域出发,把给定的理想频率响应Hd(ei)加以等间隔抽样得到Hd(k),然H(k),即后以此Hd(k)作为实际FIR滤波器的频率特性的抽样值Hd(k) Hd(eiw)|(2-16)知道H(k)后,由DFT定义可唯一确定有限长序列h(n),利用这N个频域抽样值H(k)同样利用频率内插公式可得FIR滤波器的系统函数H(z),及频率响应H(ei),即:频率抽样法内插公式:W/Z1(2-17)频率抽样法小结优点:可以在频域直接设计,并且适合于最优化设计。缺点:抽样频

21、率只能等于 2n/N的整数倍,或等于2n/N的整数倍加上确保截止频率0的自由取值.要想实现自由地诜择截止频率.必须增加抽样点数n/No因而不能N,但这又使计算量增大。为了提高逼近质量,减少通带边缘由于抽样点的陡然变化而引起的起伏振荡。 频率响应的不连续点的边缘,加上一些过渡的抽样点,增加过渡带,减少起伏振荡。有目的地在理想1336利用FDATool工具设计FIR数字滤波器FDATool (Filter Design & Analysis Tool) 是MATLAB言号处理工具箱里专用的滤波器设计分 析工具,MATLAB6.0以上的版本还专门增加了滤波器设计工具箱(Filter Desi

22、gn Toolbox) 。FDATool可以设计几乎所有的基本的常规滤波器,包括FIR和IIR的各种设计方法。它操作简单,方便灵活。FDATool界面总共分两大部分,一部分是Design Filter,在界面的下半部,用来设置滤波器的设计参数,另一部分则是特性区,在界面的上半部分,用来显示滤波器的各种特性。Desig n Filter部分主要分为:Filter Type (滤波器类型)选项,包括Lowpass (低通)、Highpass (高通)、Bandpass (带通)、 Bandstop (带阻)和特殊的FIR滤波器。Design Method (设计方法)选项,包括IIR滤波器的But

23、terworth (巴特沃思)法、Chebyshev Type I (切比雪夫I型)法、Chebyshev Type II ( 切比雪夫II型)法、Elliptic (椭圆滤波器)法和FIR滤波器的Equiripple法、Least-Squares (最小乘方)法、Window (窗函数)法。Filter Order (滤波器阶数)选项,定义滤波器的阶数,包括Specify Order (指定阶数)和Minimum Order (最小阶数)。在Specify Order中填入所要设计的滤波器的阶数(N阶滤波器,Specify Order =N- 1),如果选择Minimum Order则MAT

24、LAB艮据所选择的滤波器类型自动使用最小阶数。Frenquency Specifications 选项,可以详细定义频带的各参数,包括采样频率Fs和频带的截止频率。它的具体选项由FHterType选项和Design Method选项决定,例如Bandpass (带通)滤波器需要定义Fstopl (下阻带截止频率)、Fpassl (通带下限截止频率)、Fpass2 (通带上限截止频率)、 Fstop2 (上阻带截止频率),而Lowpass (低通)滤波器只需要定义Fstopl、Fpassl o采用窗函数设计 滤 波器时,由于过渡带是由窗函数的类型和阶数所决定的,所以只需要定义通带截止频率,而不必

25、定义阻带参 数。Magnitude Specifications 选项,可以定义幅值衰减的情况。例如设计带通滤波器时,可以定 义Wstopl (频率Fstopl处的幅值衰减)、Wpass (通带范围内的幅值衰减)、Wstop2 (频率Fstop2处的 幅值衰减)。当采用窗函数设计时,通带截止频率处的幅值衰减固定为6db,所以不必定义。本次设计滤波器的系数就是采用FDATool工具设计的滤波器。在 MATLAB命令窗口中,执行3-8所示。“FDATool”命令,就会启动FDATool滤波器设计与分析工具,其图形界面如图-iai >*L71/VD»5广-RI EhltBWdi、hi

26、 gtMM.r IL如卵y厂IQEEWir一A Rrrdgd图 3-8 FDATool 界面选择窗函数法设计滤波器:直接使用FDATool工具进行滤波器设计。例如首先滤波器Response type 选择低通,选中FIR类型,首先根据滤波器技术指标,选择窗函数W(n)的类型、长度、采样 频率和截止频 率。点击DESIGN FILTER按键,即可得到设计的FIR滤波器的频率响应和滤波器的系数。保存并关闭滤波 器设计分析工具回到matlab主窗口,在命令编辑区输入Num可得到工具的计算结果。对FIR滤波器的系数 进行调整,做整数化操作,可得到滤波器整数化的系数。3.3.7 FIR数字滤波器的实现方

27、法可以两种方法:1.直接型结构设计2.分布式算法设计1 .线性相位FIR滤波器的一般设计方法根据线性相位的偶数N的FIR滤波器的系统直接结构可知,在FIR滤波器中的整个运算过程是、加法、乘法、减法和延时 4种基本运算的组合。例如长度 N=16的线性相位FIR滤波器的原理图设 计如图3-9所示。设输入的模拟信号为x(t) sin(500* pi*t) cos(10000* pi*t),其中xN(t) cos(10000* pi*t)是叠加在xs(t) sin(500*pi*t)上的噪声。因抽样频率为10000,则经过抽样的输入序列 为:x(n) sin(0.05* pi * n) cos(pi

28、* n),取 n=0,139,由于 x(n)为浮点数,可以将x(n)扩大得到xd (n),然后再取整。要求:1)底层采用VHDL程序文件,顶层可以用原理图设计。2)给出每个模块仿真结果和顶层模块的仿真结果,并对结果进行分析比较。3)实验结果验证:利用MATLAB求取y(n) x(n)* h(n),和以上仿真结果进行对比。利用MATLAB画出输入信号x(n)和输出信号y(n)的杆状图,观察滤波效果。图3-9 15阶线性相位FIR滤波器的原理图设计2 .米用分布式算法设计FIR滤波器1)分布式算法基础一个线性时不变网络的输出可用式(3-1 )表示。假设c (n)为常量,x (n)为变量。对于有符号

29、分布式算法(Distributed ArithmeticQA )是一项重要的FPG应术,广泛地应用于计算乘积和:y c, x c(n) x(n)(3-1)n 0DFT等凡是有乘累加运算的地方。N 1这种算法可用于滤波器、卷积、相关、1bx(n) 2 XB(n) Xb( n)2(3-20DA系统,x ( n)可表示为:将式(3-2)代入式(3-1),得1c(n) Xb(n)2bOB 1 N 12b c(n 曲 n)b 0 n nN 1y 2B c(n 曲 n) n 0 N 1 B2 c(n 风(n)B 1(3-3)2Bf (c(n),XB(n) 2b f(c(n)Xb( n)1其中x(n)为(B

30、+1)位,f (c(n), Xb(n)c(n)Xb称为位乘积,其中b=0,B+1。函数nof(c(n), Xb(n)的实现方法是利用一个LUT实现映射,预先设定程序的LUT 接收一个 N 位的输入向量 xb (Xb(O),Xb(1),.,xb(N 1),输出为 f (c(n),xb(n),各个映射 f(c(n),Xb(n)都 由相应的二次幕加权累加,最后得到一次滤波的结果。由上分析可知,分布式算法是将乘法运算转换成基于查找表结构的移位相加算法,从而实现多个乘法运算 操作。在被乘数位数较少的情况下,相比直接的乘法器结构,这种算法有明显的速度和算法优势。这种算法尤 其是在乘法器资源很少的FPGA器

31、件中有很大的应用前景。2)并行的分布式算法分布式算法有串行实现方式和并行实现方式。并行方式的算法结构如图 310所示。图中ROM 的输出和求和结果的输出都加上了虚线框,这些虚线框为流水线寄存器。上下级流水线寄存器之间的数字电路 按照时钟频率工作而不用考虑它们本身的延迟,这使得整个系统的工作频率增加,从而加快了运算速度。但是 这种并行结构增加了额外的LUT寄存器和加法器。当输入数据位宽较少时,比如4到8位,这种实现方式会有令人满意的结果。图3-10并行DA结构3)串行的分布式算法串行方式不能有效地提高系统的处理速度,但是能够节省大量的资源。一个四阶的 FIR滤波器的串行DA吉构如图3-11所示。

32、位移寄存器中存储着按先入先出顺序从高位到低位排列的数据比特,每隔一个 字长抽出一个抽头。这样,每个数据的低位到高位将会相继移出到抽头。然后再对 ROM进 行寻址,得到一个部分积后移位累加,最终得到输出结果。rX0(7)X0(0)AXI (7)XI (0)X2(7)X2(0)XGi)4 H中 转换X3(7)X3(0)Y(n)图311四阶FIR滤波器的串行DA结构4)本文采用并行分布式算法的实现方案。图3-12并行分布式算法实现框图如图所示,各个模块的实现步骤如下:(1) 输入数据预处理模块该模块用于将A/D转换器输出的8位有符号数据转换成二进制补码形式,并进行锁存。由于在计算机中 采用的数据形式

33、是二进制补码,为了仿真方便,在仿真时可以去掉这个模块。(2) 并行延时模块输入数据输送到并行延时模块,在每个时钟周期,把一组数据顺序时延,每经过15个时钟周期,就会有一个数据移出并行延时模块。这些并行时延模块是有15组D触发器串联而成,并且共用时钟。(3) 预相加模块由于线性相位FIR滤波器的系数具有对称性,因此可以通过将对称的x (n)进行相加,这样可以节省(N-1) /2个乘法器,从而降低了硬件的规模。(4) 查找表模块该模块用于对位乘积的寻址。一个8位的地址,可以产生28个数据。为了节约FPGA资源,可以采用LUT分割技术,将一个8位地址的LUT分割成两个4位地址的LUT。这样可以节省2

34、24个数据空间。因此,采用LUT分割技术,可以节省大量硬件资源,避免了大容量ROM勺使用。根据分布式算法,查找表存储的是滤波器系数的各种组合相加的结果,由于滤波器系数通常为浮点 数,我们需要将其化为定点整数。(5) 加法器模块该模块用于将高4位LUT选中的数据和低4位LUT选中的数据进行相加,然后送入移位累加器 模块。为了保证结果正确性,在相加前,需要对相加勺两个有符号数进行符号位扩展。(6) 移位累加器模块各个位产生的位乘积在这个模块中乘以各自的权重,也即左移相应的位数,然后相加得到最终 结果。(7) 输出处理模块由于移位累加器输出的是24位二进制数,为了得到16位字长的数据,需要对输出数据

35、进行截去低8 位,同时进行锁存输出。如果有必要,还需将输出的二进制补码转换为二进制原码。设 输 入 的 模 拟 信号为 x(t) sin(500* pi*t) cos(10000* pi*t),其中 Xn (t) cos(10000* pi*t) 是叠加在Xs(t) sin(500* pi*t)上的噪声。因抽样频率为10000,则经过抽样的输入序列为:x(n) sin(0.05* pi * n) cos( pi * n),取n=o,l39,由于x(n)为浮点数,可以将x(n)扩大得到Xd (n),然后再取整。要求:1)采用VHDL程序文件。2)给出每个模块仿真结果和顶层模块的仿真结果,并对结果进行分析比较。3)实验结果验证: 利用MATLAB求取y(n) x(nf h(n),和以上仿真结果进行对比。 利用MATLAB画出输入信号x(n)和输出信号y(n)的杆状图,观察滤波效果。4教学教材与参考文献,2007.1刘爱荣.EDA技术与CPLD/FPGA开发应用简明教程M.北京:清华大学出版社2潘松.EDA技术实用教程M.北京:清华大学出版社,2006.3薛年喜.MATLAB在数字信号处理中的应用M.北京:清华大学出版社,2003.4程佩青.数字信号处理教程M.北京:清华大学出版社,2003.

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 社会民生


经营许可证编号:宁ICP备18001539号-1