汽车尾灯课程设计++VHDL++EDA.docx

上传人:scccc 文档编号:13008735 上传时间:2021-12-10 格式:DOCX 页数:16 大小:257.97KB
返回 下载 相关 举报
汽车尾灯课程设计++VHDL++EDA.docx_第1页
第1页 / 共16页
汽车尾灯课程设计++VHDL++EDA.docx_第2页
第2页 / 共16页
汽车尾灯课程设计++VHDL++EDA.docx_第3页
第3页 / 共16页
汽车尾灯课程设计++VHDL++EDA.docx_第4页
第4页 / 共16页
汽车尾灯课程设计++VHDL++EDA.docx_第5页
第5页 / 共16页
点击查看更多>>
资源描述

《汽车尾灯课程设计++VHDL++EDA.docx》由会员分享,可在线阅读,更多相关《汽车尾灯课程设计++VHDL++EDA.docx(16页珍藏版)》请在三一文库上搜索。

1、目 录1. 引言11.1设计的目的11.2设计的基本内容11.3 EDA的介绍 11.3.1 EDA技术的概念11.3.2 EDA技术的特点 21.3.3 EDA设计流程 21.4硬件描述语言(VHDL 21.4.1 VHDL 的介绍21.4.2 VHDL语言的特点 32. 总体设计42.1需求分析42.2汽车尾灯控制器的工作原理 42.3汽车运行状态表和总体框图 53. 详细设计63.1各组成模块63.2时钟分频模块63.3汽车尾灯主控模块63.4左边灯控制模块73.5右边灯控制模块94. 系统仿真与调试 104.1分频模块仿真及分析 104.2汽车尾灯主控模块仿真及分析 104.3左边灯控

2、制模块仿真及分析 114.4右边灯控制模块仿真及分析114.5整个系统仿真及分析124.6总体设计电路图12总结13参考文献14黄石理工学院硬件综合课程设计1.引言随着社会的发展,科学技术也在不断的进步,状态机的应用越来越广泛。现代交通越来越拥挤,安全问题日益突出,在这种情况下汽车尾灯控制器的设计成为解 决交通安全问题一种好的途径。在本课程设计根据状态机原理1实现了汽车尾灯常用控制。1.1设计的目的本次设计的目的就是通过实践深入理解计算机组成原理,了解EDA技术2并掌握VHDK件描述语言的设计方法和思想。以计算机组成原理为指导,通过学习的 VHDL语言结合电子电路的设计知识理论联系实际,掌握所

3、学的课程知识和基本单 元电路的综合设计应用。通过对实用汽车尾灯控制器 3的设计,巩固和综合运用所 学知识,提高IC设计能力,提高分析、解决计算机技术实际问题的独立工作能力。1.2设计的基本内容根据计算机中状态机原理,利用 VHD段计汽车尾灯控制器的各个模块,并使 用EDA工具对各模块进行仿真验证。汽车尾灯控制器的设计分为4个模块:时钟分频模块、汽车尾灯主控模块,左边灯控制模块和右边灯控制模块。把各个模块整 合后就形成了汽车尾灯控制器。通过输入系统时钟信号和相关的汽车控制信号,汽 车尾灯将正确显示当前汽车的控制状态。1.3 EDA的介绍1.3.1 EDA技术的概念ED牌电子设计自动化(Elect

4、ronic Design Automation )的缩写,在 20世 纪90年代初从计算机辅助设计(CAD、计算机辅助制造(CAM、计算机辅助测 试(CAT和计算机辅助工程(CAE的概念发展而来的。EDAK术就是以计算机为 工具,设计者在EDAa件平台上,用硬件描述语言 HDL完成设计文件,然后由计算 机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对丁 特定目标芯片的适配编译、逻辑映射和编程下载等工作。1.3.2 EDA技术的特点利用EDA#术进行电子系统的设计,具有以下几个特点:用软件的方式设计硬件;用软件方式设计的系统到硬件系统的转换是由有关的开发软件自动完 成的;

5、设计过程中可用有关软件进行各种仿真; 系统可现场编程,在线升级; 整个系统可集成在一个芯片上,体积小、功耗低、可靠性高。因此,edaK术是现代电子设计的发展趋势。1.3.3 EDA设计流程典型的EDA®计流程如下:1、文本/原理图编辑与修改。首先利用EDAX具的文本或图形编辑器将设计者 的设计意图用文本或图形方式表达出来。2、编译。完成设计描述后即可通过编译器进行排错编译,变成特定的文本格 式,为下一步的综合做准备。3、综合。将软件设计与硬件的可实现性挂钩,是将软件转化为硬件电路的关 键步骤。4、行为仿真和功能仿真。利用产生的网表文件进行功能仿真,以便了解设计 描述与设计意图的一致性

6、。5、适配。利用FPGA/CPLD?局布线适配器将综合后的网表文件针对某一具体 的目标器件进行逻辑映射操作,其中包括底层器件配置、逻辑分割、逻辑优化、布 局布线。适配报告指明了芯片内资源的分配与利用、引脚锁定、设计的布尔方程描 述情况。6、功能仿真和时序仿真。7、下载。如果以上的所有过程都没有发现问题,就可以将适配器产生的下载 文件通过FPGA/CPLD7载电缆载入目标芯片中。8、硬件仿真与测试。1.4硬件描述语言(VHDL1.4.1 VHDL的介绍VHDL(Very-High-Speed Integrated Circuit Hardware Description Language)主要用

7、丁描述数字系统的结构,行为,功能和接口。除了含有许多具有硬件特征的 语句外,VHDL勺语言形式和描述风格与句法是十分类似丁一般的计算机高级语言。 VHDL的程序结构特点是将一项工程设计,或称设计实体(可以是一个元件,一个 电路模块或一个系统)分成外部(或称可是部分,及端口)和内部(或称不可视部分), 既涉及实体的内部功能和算法完成部分。在对一个设计实体定义了外部界面后, 一旦其内部开发完成后,其他的设计就可以直接调用这个实体。 这种将设计实体分成 内外部分的概念是VHDLS统设计的基本1.4.2 VHDL语言的特点1. 用VHDL弋码而不是用原理图进行设计,意味着整个电路板的模型及性能可用 计

8、算机模拟进行验证。2. VHDL元件的设计与工艺无关,与工艺独立,方便工艺转换。3. VHDL支持各种设计方法,自顶向下、自底向上或者混合的都可以。4. 可以进行从系统级到逻辑级的描述,即混合描述。5. VHDL区别丁其他的HDL已形成标准,其代码在不同的系统中可交换建模。142. 总体设计2.1需求分析根据现代交通规则,汽车尾灯控制器应满足以下基本要求:1. 汽车正常使用是指示灯不亮2. 汽车右转时,右侧的一盏灯亮3. 汽车左转时,左侧的一盏灯亮4. 汽车刹车时,左右两侧的指示灯同时亮5. 汽车夜间行驶时,左右两侧的指示灯同时一直亮,供照明使用2.2汽车尾灯控制器的工作原理汽车尾灯控制器就是

9、一个状态机的实例。当汽车正常行驶时所有指示灯都不亮;当汽车向右转弯时,汽车右侧的指示灯 RD1亮;当汽车向左侧转弯时,汽车左 侧的指示灯LD1亮;当汽车刹车时,汽车右侧的指示灯 RD2JP汽车左侧的指示灯 LD2同时亮;当汽车在夜间行驶时,汽车右侧的指示灯RD侨日汽车左侧的指示灯LD3 同时一直亮。通过设置系统的输入信号:系统时钟信号CLK汽车左转弯控制信号LEFT,汽车右转弯控制信号 RIGHT刹车信号BRAKE夜间行驶信号NIGHTS系统 的输出信号:汽车左侧3盏指示灯LD1、LD2、LD3和汽车右侧3盏指示灯RD1 RD2RD纹现以上功能。系统的整体组装设计原理如图3.1所示。图2.3整

10、体组装设计原理2.3汽车运行状态表和总体框图汽车尾灯和汽车运行状态表如表 1所示汽车尾灯和汽车运行状态表1-1开关控制汽车运行状态右转尾灯左转尾灯S。S1S2R1 R2R3L1L2L3000正常运行妇火001左转弯妇火按L1L2L3顺序循环点亮010右转弯按R1R2R3顺序循环点亮011临时刹车/检测所有尾灯问时点亮100倒车所有尾灯按照转弯次序点亮101晚上行车时R3 , L3 一直点亮汽车尾灯控制电路设计总体框图如图 1所示:图1汽车尾灯控制电路设计总体框图3. 详细设计3.1各组成模块实现的主要功能是通过开关控制从而实现汽车尾灯的点亮方式。汽车尾灯控制器有4个模块组成,分别为:时钟分频模

11、块、汽车尾灯主控模块,左边灯控制模块 和右边灯控制模块,以下介绍各模块的详细设计。3.2时钟分频模块整个时钟分频模块的工作框图如图 3.2所示。CPCLK图3.2时钟分频模块工作框图时钟分频模块由VHD解序来实现,下面是其中的一段 VHDL弋码:ARCHITECTURE ART OF SZ ISSIGNAL COUNT:STD_LOGIC_VECTOR(7 DOWNTO 0);BEGINPROCESS(CLK)BEGINIF CLK'EVENT AND CLK = '1'THENCOUNT <= COUNT + 1;END IF;END PROCESS;CP<

12、;= COUNT(3);END ART;3.3汽车尾灯主控模块汽车尾灯主控模块工作框图如图3.3所示1CTRLI 1!LEFTLP'iIiRIGHTRP-IBRAKELRIIIiNIGHTBRAKE_LEDiNIGHT_LEDI,IL _图3.3主控模块工作框图汽车尾灯主控模块由VHD解序来实现,下面是其中的一段 VHDLL弋码:ARCHITECTURE ART OF CTRL ISBEGINNIGHT_LED<=NIGHT;BRAKE_LED<=BAKE;PROCESS(LEFT,RIGHT)VARIABLE TEMP:STD_LOGIC_VECTOR(1 DOWNTO

13、0);BEGINTEMP:=LEFT & RIGHT;CASE TEMP ISWHEN "00" =>LP<='0'RP<='0'LR<='0'WHEN "01" =>LP<='0'RP<='1'LR<='0'WHEN "10" =>LP<='1'RP<='0'LR<='0'WHEN OTHERS=>LP&l

14、t;='0'RP<='0'LR<='1'END CASE;END PROCESS;END ART;3.4左边灯控制模块左边灯控制模块的工作框图如图3.4所示。1 1LC1 11 iiCLKLPLEDLLRLEDBBRRAKELEDNNIGHTi1 iiI1 1i Ii1iiiii i1ii1图3.4左边灯控制模块的工作框图左边灯控制模块由VHD解序来实现,下面是其中的一段 VHDL(弋码:ARCHITECTURE ART OF LC ISBEGINLEDB<=BRAKE;LEDN<=NIGHT;PROCESS(CLK,LP

15、,LR)BEGINIF CLK'EVENT AND CLK = '1' THENIF(LR ='0')THENIF(LP = '0')THENLEDL<='0'ELSELEDL<='1'END IF;ELSELEDL <='0'END IF;END IF;END PROCESS;END ART;3.5右边灯控制模块右边灯控制模块的工作框图如图3.5所示RC1 ,1CLKRPLEDRLRLEDBBRRAKELEDNNIGHT1I ii iaii ji1_i图3.5右边灯控制模

16、块的工作框图右边灯控制模块由VHD解序来实现,下面是其中的一段 VHDL(弋码:ARCHITECTURE ART OF RC ISBEGINLEDB<=BRAKE;LEDN<=NIGHT;PROCESS(CLK,RP,LR)BEGINIF CLK'EVENT AND CLK = '1' THENIF(LR = '0')THENIF(RP = '0')THENLEDR <='0'ELSELEDR <= '1'END IF;ELSELEDR <='0'END IF;

17、END IF;END PROCESS;END ART;4. 系统仿真与调试4.1分频模块仿真及分析分频模块由VHD解序实现后,其仿真图如图4.1所示NammMalu或100,眼 20叩帷 30。卬$ 4D0pn$ 500,糜 印叩帔 700 pn$ 80。,糜 90 叩 n$L_CLKPCP1C 00LTLTLTLTLnLTLTnrminnLTLTLTm图4.1分频模块仿真图对其仿真图进行仿真分析:如图所示,首先生成一个600ns的时钟脉冲,通过 时钟分频把600ns的脉冲分成一个40ns的脉冲,实现了信号同步。4.2汽车尾灯主控模块仿真及分析汽车尾灯主控模块由VHDlg序实现后,其仿真图如图

18、4.2所示图4.2主控模块时序仿真图对时序仿真图进行分析:RIGHT LEFT,NIGHT,BRAK即输入信号,RIGHT为1 表示右转,LEFT为1表示左转,NIGHT% 1表示夜间行路,BRAKE; 1表示刹车。 RP,LP,NIGHT_LED,BRAKE_LBW俞出信号。如图所示:当 RIGHT为1时,产生一个 RP为1的信号脉冲输出,当LEFT为1时,产生一个LP为1的信号脉冲输出,当 NIGHT为1时,产生一个NIGHT_LED/ 1的信号脉冲输出。当 BRAKE; 1时,产生 一个BRAKE_LED 1的信号脉冲输出。4.3左边灯控制模块仿真及分析左边灯控制模块由VHDlg序实现后

19、,其仿真图如下图4.3所示。对时序仿真图进行分析:LP, LR,NIGHT,BRAKEJ输入信号,LP为1表示左 转,LR为1表示右转,NIGH矽/1表示夜间行路,BRAKB 1表示刹车。LEDL,LEDB,LEDN 为输出信号,表示汽车左侧的三盏灯。如图所示:当 LP为1时,LEDL输出为1表 示左侧灯亮,当 BRAK例1时,LEDB俞出为1表示左侧灯亮,当 NIGHT为1时, LEDN俞出为1表示左侧灯亮。当LR为1时,左侧三盏灯输出均为0。即没有灯亮。Name:7a|uJ 100 Ons 200 Ong 300 Lns JOD.Ons 500 0n= 600.0-s 700 On? SO

20、O.Ons 900 Ons 1C£1B-CLK*FT 0_iBRAKE0NIGHT003 LECL0。UEDB0心 L:DN0图4.3左边灯控制模块时序仿真图4.4右边灯控制模块仿真及分析右边灯控制模块由VHDLE序实现后,其仿真图如图4.4所示对时序仿真图进行分析:RP LR,NIGHT,BRAKE输入信号,LR为1表示左转,RP为1表示右转,NIGHT为1表示夜间行路,BRAKE; 1表示杀U车。LEDR,LEDB,LEDN为输出信号,表示汽车右侧的三盏灯。如图所示:当 RP为1时,LEDR俞出为1表 示右侧灯亮,当BRAK例1时,LEDB俞出为1表示右侧灯亮,当NIGHT为1时

21、, LEDN俞出为1表示右侧灯亮。当LR为1时,右侧三盏灯输出均为0。即没有灯亮。4.5整个系统仿真及分析按图2.3组装系统后的仿真图如下图4.5所示。对时序仿真图进行分析:RIGHT LEFT,NIGHT,BRAK例输入信号,RIGHT为1 表示右转,LEFT为1表示左转,NIGHT% 1表示夜间行路,BRAKE; 1表示刹车。 RD1,RD2,RD物输出信号,表示汽车右侧的三盏灯。LD1,LD2,LD3为输出信号,表示汽车左侧的三盏灯。如图所示:当 RIGHT% 1时,RD1输出为1表示右侧灯亮, 当LEFT为1时,LD1为输出为1表示左侧灯亮,当NIGHT% 1时,LD2,RD2输出均

22、为1,表示左,右两侧各有一盏灯亮。当 BRAK例1时,LD3,RD3输出均为1,表 示左,右两侧各有一盏灯亮。图4.5整个系统仿真图4.6总体设计电路图图4.6总体设计电路图总结通过两星期的紧张工作,最后完成了我的设计任务 一一汽车尾灯控制器的设 计。通过本次课程设计的学习,我深深的体会到设计课的重要性和目的性。本次 设计课不仅仅培养了我们实际操作能力,也培养了我们灵活运用课本知识,理论 联系实际,独立自主的进行设计的能力。它不仅仅是一个学习新知识新方法的好 机会,同时也是对我所学知识的一次综合的检验和复习,使我明白了自己的缺陷 所在,从而查漏补缺。希望学校以后多安排一些类似的实践环节,让同学

23、们学以 致用。在设计中要求我要有耐心和毅力,还要细心,稍有不慎,一个小小的错误就 会导致结果的不正确,而对错误的检查要求我要有足够的耐心,通过这次设计和 设计中遇到的问题,也积累了一定的经验,对以后从事集成电路设计工作会有一 定的帮助。在应用VHDL的过程中让我真正领会到了其并行运行与其他软件顺序 执行的差别及其在电路设计上的优越性。用VHDL硬件描述语言的形式来进行数 字系统的设计方便灵活,利用 EDA软件进行编译优化仿真极大地减少了电路设 计时间和可能发生的错误,降低了开发成本,这种设计方法必将在未来的数字系 统设计中发挥越来越重要的作用。参考文献1 王爱英.计算机组成与结构.北京:活华大学出版社,2001.2 ,2 黄仁欣.EDA技术实用教程.北京:活华大学出版社,20063 曹昕燕,周凤臣,聂春燕.EDA技术实验与课程设计.北京:活华大学出版社,2006.54 杨亦华,延明.数字电路ED从门.北京:北京邮电大学出版社,20035 彭容修,数字电子技术基础,武汉,武汉理工大学出版社,20056 潘松,黄继业EDA技术与VHDL,北京游华大学出版社,2006

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 社会民生


经营许可证编号:宁ICP备18001539号-1