[工学]多用途彩灯控制电路的设计-毕业设计.doc

上传人:音乐台 文档编号:1977201 上传时间:2019-01-27 格式:DOC 页数:38 大小:945.20KB
返回 下载 相关 举报
[工学]多用途彩灯控制电路的设计-毕业设计.doc_第1页
第1页 / 共38页
[工学]多用途彩灯控制电路的设计-毕业设计.doc_第2页
第2页 / 共38页
[工学]多用途彩灯控制电路的设计-毕业设计.doc_第3页
第3页 / 共38页
亲,该文档总共38页,到这儿已超出免费预览范围,如果喜欢就下载吧!
资源描述

《[工学]多用途彩灯控制电路的设计-毕业设计.doc》由会员分享,可在线阅读,更多相关《[工学]多用途彩灯控制电路的设计-毕业设计.doc(38页珍藏版)》请在三一文库上搜索。

1、武汉理工大学毕业设计(论文) 多用途彩灯控制电路的设计指导老师:黄晓放邵金进摘 要照明可以改变环境的外观,艺术性的照明灯光和色彩,构成动态和静态的光、声、色的景观,更显示出景观庭园灯具的小品化、雕塑化、时装化,给大自然环境的点缀。快速发展的技术将为照明设计与应用带来崭新的可能性,这是许多传统光源所不可能实现的。LED已越来越多地应用到信号和标识照明,道路上的交通信号灯,建筑物中的诱导灯和勾勒轮廓的立面照明,城市广场、园林、步行街道的庭园灯光多色彩的照明,都是采用超高亮度的LED后生产出来的,其优异的节能特点和极长的使用寿命,被灯光设计的模块化,为照明设计带来了便利,通过设计者充分合理的布局,使

2、处于良好的工作状态。整个电路设计分成四部分进行连接,分别是开关控制部分(即无线遥控收发器电路与接地开关组成),主控制器(包括显示电路),整流电路,信号驱动电路。在本文中控制电路主要采用有线控制与无线遥控的串联结构完成。在彩灯控制器方面选择的是8路彩灯控制器专用集成电路。在设计上将无线控制机制与有线控制方式进行了一个有效的联合作用,使得彩灯控制器的控制方式和花样变化多样化,并简化了控制电路的连接线路。在无线遥控器方面使用了单键复用的功能,同时它保留了传统的开关控制习惯,从而达到控制方式的多样化。由于时间和能力的问题,本次多用途彩灯控制电路的设计过程中,本人的工作也存在不少不足之处,需要在以后的工

3、作中进行相应的改进。关键词: LED 控制器 集成电路 复位 编码器AbstractThe illumination may change the environments appearance,the artistic illumination light and the color, form the dynamic and the static light, the sound, the color landscape,demonstrates the landscape garden lamps and lanterns the sketch, the sculpture, the f

4、ashionable clothing, give nature some embellishment. the fast developed LED technology will applies forthe illumination and brings the brand-new possibility, this is impossible to realize by many traditional photosources. LED are more and more used everywhere, its outstand conservational characteris

5、tic and the extremely long servicelife, and the LED modulation, has brought great convenience for the illumination design, by the full reasonable layout, designer can cause LED to be at a good status. The entire circuit design are divided into four parts ,respectively is the switch control section (

6、wireless remote control electric circuit and switch), master control (including display circuit),levelling circuit, signal actuation electric circuit. This article about control circuit mainly uses the wired control and the wireless remote control parallel structure. The choose in the colored lanter

7、n controller aspect is a 8groups colored lanterns controllers of special-purpose integrated circuit. Designing the wireless control machine-made and the wired control mode on an effective joint action, it makes the control mode and the pattern change diversified,and simplifies the control circuit li

8、ne. Using the function in the wireless remote control aspect which uses the singlebond duplicate, simultaneously it retained the traditional switchcontrol custom, thus achieves the diversification of the control mode .As a result of the time and ability, in this multipurpose colored lanterncontrol c

9、ircuit design process, my work also has many deficiency,which will need to be made the corresponding improvement in the later work. Key words:LED Controller Integrated circuit Reset Encoder351 绪论在现代都市中,大功率气体放电灯、泛光照明、霓虹灯、灯箱广告等光源所产生的光污染,已经对人类、自然环境以及天文观察造成严重危害。21世纪,解决光污染,是照明技术急待突破的课题,LED应运而生,前途无量。过去10年

10、来,LED在颜色种类、亮度和功率都发生了极大的变化。LED以其令人惊叹而欣喜的应用在城市室内外照明中发挥着传统光源无可比拟的作用。LED寿命长达10万小时,意味着每天工作八小时,可以有35年免维护的理论保障。低压运行,几乎可达到100的光输出,调光时低到零输出,可以组合出成千上万种光色,而发光面积可以很小,能制作成平方毫米。经过二次光学设计,照明灯具达到理想的光强分布。快速发展的LED技术将为照明设计与应用带来崭新的可能性,这是许多传统光源所不可能实现的。 1) 照明与LED光源 科学需要分析和理解,照明需要鉴赏和品味,艺术则需要深层的直觉感悟。照明艺术必须透过光和照明,才能产生生命力。 照明

11、可以改变环境的外观,艺术性的照明灯光和色彩,构成动态和静态的光、声、色的景观,更显示出景观庭园灯具的小品化、雕塑化、时装化,给大自然环境的点缀。 随着人们思想意识,生活方式的不断改变,灯具、光源、材料、风格与设计方式都发生很大的变化。在选择适宜光源,除了经济因素外还要从整个光环境效果及材料的质感可靠性考虑,还注重自然环境与照明统一性。照明应用LED光源就摆脱传统照明的思路设计。 2) LED的光环境 为了提高可视性和观赏性。LED已越来越多地应用到信号和标识照明,道路上的交通信号灯,建筑物中的诱导灯和勾勒轮廓的立面照明,城市广场、园林、步行街道的庭园灯光多色彩的照明,都是采用超高亮度的LED后

12、生产出来的,其优异的节能特点和极长的使用寿命,被灯光设计师和业主们青睐。 3) LED光源的特点 a 发光均匀性好,发射光角在100度,发光率在4050Lm/W。 b 光源平均寿命10万小时,不出现严重的光衰现象,发光衰减量10%,能耗24W。 c 发光波长应一致,光色RGB的发光强度应能连续变化。 d 可按照环境整体要求进行编程控制,产生整体的艺术景观效果。 e LED魅力四射,优点多多。除了寿命长、耗能低之外,LED更大的长处有三点:一是应用非常灵活,可以做成点、线、面各种形式的轻薄短小产品;二是环保效益更佳,由于光谱中没有紫外线和红外线,既没有热量,也没有辐射,属于典型的绿色照明光源,而

13、且废弃物可回收,没有污染;三是控制极为方便,只要调整电流,就可以随意调光,不同光色的组合变化多端,利用时序控制电路,更能达到丰富多彩的动态变化效果。 4) 色调 LED发光二极管有着柔和的光色,在广场和园林照明有较强的艺术效果,它不仅为人提供舒适的视觉条件,更需要通过各类光色的协调,体现景观照明风格,增加艺术美感,达到灯设计意图所刻意创造的空间景观,满足人的视觉需求、审美需求、心理需求。 由于夜景在庭园灯光小品上反映的颜色对人所产生的视觉感受,表现出不同的色调、艺术欣赏、文化层次。红色是暖色调,使人感受到热情、温暖、热烈;黄色属偏暖色调,给人高贵、光明、欢快的感受;绿色是森林的主调,它使人想到

14、青山绿水、春光明媚;蓝色是一种极其冷静的色彩,使人联想到碧蓝的海洋;白色是冷色调,它给人以优雅、纯真、平和的感受。 5) LED在照明工程中的应用 a 景观照明 对建筑物某个区域进行投射,无非是使用控制光束角的圆头和方头形状的投光灯具,这与传统的投光灯具概念完全一致。但是,由于LED光源小而薄,线性投射灯具的研发无疑成为LED投射灯具的一大亮点,因为许多建筑物根本没有出挑的地方放置传统的投光灯。它的安装便捷,可以水准也可以垂直方向安装,与建筑物表面更好地结合,为照明设计师带来了新的照明语汇,拓展了创作空间,对现代建筑和历史建筑的照明手法产生了影响。 由于LED不像传统灯具光源多是玻璃泡壳,它可

15、以与城市街道家具很好的有机结合。可以在城市的休闲空间如路径、楼梯、甲板、滨水地带、园艺进行照明。对于花卉或低矮的灌木,可以使用LED作为光源进行照明。LED隐藏式的投光灯具会特别受到青睐。固定端可以设计为插拔式,依据植物生长的高度,方便进行调节。 b 标识与指示性照明 需要进行空间限定和引导的场所,如道路路面的分隔显示、楼梯踏步的局部照明、紧急出口的指示照明,可以使用表面亮度适当的LED自发光埋地灯或嵌在垂直墙面的灯具,如影剧院观众厅内的地面引导灯或座椅侧面的指示灯,以及购物中心内楼层的引导灯等。另外,LED与霓虹灯相比,由于是低压,没有易碎的玻璃,不会因为制作中弯曲而增加费用,值得在标识设计

16、中推广使用。 c 室内空间展示照明 就照明品质来说,由于LED光源没有热量、紫外与红外辐射,对展品或商品不会产生损害,与传统光源比较,灯具不需要附加滤光装置,照明系统简单,费用低廉,易于安装。其精确的布光,可作为博物馆光纤照明的替代品。商业照明大都会使用彩色的LED,室内装饰性的白光LED结合室内装修为室内提供辅助性照明,暗藏光带可以使用LED,对于低矮的空间特别有利。 d 娱乐场所及舞台照明 由于LED的动态、数字化控制色彩、亮度和调光,活泼的饱和色可以创造静态和动态的照明效果。从白光到全光谱中的任意颜色,LED的使用在这类空间的照明中开启了新的思路。长寿命、高流明的维持值(10,000小时

17、后仍然维持90的光通),与灯和金卤灯的 50250 小时的寿命相比,降低了维护费用和更换光源的频率。另外,LED克服了金卤灯使用一段时间后颜色偏移的现象。与灯相比,没有热辐射,可以使空间变得更加舒适。目前LED彩色装饰墙面在餐饮建筑中的应用已蔚然成风。 e 视频屏幕 全彩色LED显示屏是当今世界上最为引人注目的户外大型显示装置,采用先进的数字化视频处理技术,有无可比拟的超大面积与超高亮度。根据不同的户内外环境,采用各种规格的发光像素,实现不同的亮度、色彩、分辨率,以满足各种用途。它可以动态显示图文动画信息,利用多媒体技术,可播放各类多媒体文件。世界上目前最有影响的LED显示屏,当属美国曼哈顿时

18、代广场纽约证券交易所,总计使用了18,677,760只LED,面积为10,736平方英尺。屏幕可以划分成多个画面,而同时显示,将华尔街股市的行情一目了然呈现在公众面前。另外崛起在上海浦东陆家嘴金融中心的震旦国际总部,整个朝向浦西的建筑立面镶上了长100的超大型LED屏,总计面积达到3600平方米,堪称世界第一。 f 与工业设计相结合 LED是近年来欧洲产品设计师的宠儿,他们将LED作为产品设计元素的一部分,驰骋于想象的空间,将光、玻璃或其它材料结合在一起,成为美轮美奂的艺术品。 6) LED产品研发亟待解决的问题 LED是21世纪最具发展前景的高技术照明领域。作为新型高效固体光源,具有寿命长、

19、节能、环保等显著优点,将是人类照明史上继白炽灯、荧光灯、高压气体放电灯的又一次飞跃。在半导体照明产品的外延生产、芯片制造、器件封装、集成应用等几个环节已初步形成比较完整的产业链,但在白光照明实现功能化照明方面存在着许多制约因素。因此,在目前的情况下,对LED照明产品开发与设计寄予以下希望: a 满足人们对照明的个性化需求 LED的模块化,为照明设计带来了便利,通过设计者充分合理的布局,使LED处于良好的工作状态。LED的产品在满足个性化照明方面是传统光源相形见拙的,LED产品形制的多样化将达到前所未有的程度。因此,LED产品个性化的研发与设计是所有LED灯具供货商必须要面临的最大挑战。 b 面

20、发光LED产品考虑建筑的模数化 面发光的LED必须与城市设计和建筑设计相结合,才能满足设计者的需求。例如作为地面铺装的夜间装饰,产品的尺寸与地砖的尺寸相协调,即符合模数的要求: 15015;200200;200100;300300;400200等。 c 小功率LED灯具设计 城市夜景照明追求的不是亮度,而是艺术的创意设计,小功率的产品应该能够找到它的用武之地。LED发光角度小,方向性强,可作局部重点照明。问题是要给设计师提供有足够大的选择范围,外观上也应有美观的要求。可以肯定地说,LED将会在未来引领照明的时尚与新潮。 d 环保与节能,LED的合理利用 绿色照明的概念是全球追随的生态设计目标。

21、如果能将与太阳能电池组合,将会扩大LED的应用范围与场所。 e 大功率、高光效、高显色性的白光LED照明产品研发 LED在照明领域的使用目前还受到技术水准和价格的限制,目前我国LED在照明领域应用的困难是LED白光光效不高(每瓦只有20流明左右),功率不大(几十毫瓦至一瓦)、价格贵。但是白光LED的发展前景看好,发光效率将达到 100 l,单颗的输出功率达到10,大功率、高亮度LED集成封装技术的研究,包括驱动电路及特种灯具的开发,无疑是LED最终走入照明领域的关键。一旦光效和价格问题得到解决,LED将是未来照明的主体。1.1 控制电路与彩灯控制器采用的技术本文的控制电路主要利用集成电路设计,

22、将有线控制与无线遥控采用串联结构完成。在有线控制方面我采用传统的接地开关来实现对彩灯控制器的控制,这里主要利用开关的断通作为花样控制芯片的编程输入决定控制信号的样式从而实现花样变换;在无线控制方面则利用无线遥控技术实现,在实际的电路控制信号输入口连接无线接收电路模块实现信号的无线传输与接收从而达到控制的目的。在这里我选择TDC1808/TDC1809组成射频无线遥控收发电路。通过TDC1809的低电平输出经信号驱动电路再接到控制芯片的编程口完成。处理有线控制与无线控制方式并存的机制是利用两个多刀单置开关来完成,这种方式实现比较简单而且可以很好的根据使用者的想法来决定,根据需要在预先完成对控制方

23、式的设置。在彩灯控制器方面选择的是8路彩灯控制器专用集成电路。其内存了32种彩灯变换样式控制程序,每一种样式又有4种变化速率可以选择。考虑到无线控制进行花样编程控制的难度,在这里我选择了其中8种变换样式作为彩灯控制器的控制样式,而在有线接地开关控制上由于直接与编程端口接触所以也可以根据开关的断开与闭合手动实现相应的控制样式的应用。1.1.1 彩灯控制技术的现状 虽然在彩灯控制器的应用上有许多不同的设计,但目前在彩灯控制器电路领域主要有三种技术应用比较盛行:第一种是利用集成器件。由于集成器件可以替代许多一般电子器件才能完成的工作,所以使用这类器件的实现电路与传统的电路相比比较简单稳定,而且由于是

24、应用单片机技术,并且已经人为的根据实际需要内嵌花样控制程序,因此可以实现的花样众多。而且这也是目前世界上通用的电路设计做法,在很多的电路领域都把集成器件作为简化电路,增强稳定,实现复杂功能的关键。但是由于这类集成器件都是预先设定花样并且进行了固化,所以进行电路设计时必须根据芯片来决定变换花样,对于发挥人的设计想象有一定的局限性。市场上这类芯片比较广泛,而且花样变换也各不相同,所以基本的花样设计都能被包含在内。第二种是利用传统的触发器件和门电路完成控制电路。这类电路的控制样式比较简单,一般以实现彩灯的循环控制为主,但其稳定性很高,不需要考虑程序的可移植性、稳定性、而且电路结构简单。只要电路没有问

25、题,就可以很好的实现其功能。第三种是利用编程技术对单片机控制芯片根据个人需要和能力通过编写控制程序达到自己要求的花样变换。在这里主要应用到的编程语言是C51,汇编语言,VHDL等。对于这些利用将在下面进行详细的介绍。1.1.2 目前市场上熟悉的几种彩灯控制器介绍市场上在彩灯控制器方面上有很多的不同设计,下面就针对目前市场上熟悉的几种彩灯控制器进行简单的介绍: 1)利用集成器件直接实现彩灯控制器a 彩灯控制器专用集成电路SE9518的典型应用单片SE9518的典型应用如图1.1所示。它是由电源电路,花样控制电路,双向晶闸管驱动电路所组成。220V市电经电源变压器T降压成6V左右的交流电,经2A/

26、200V全桥(用4只整流二极管1N4001等构成)整流、电容器C1滤波后,为电路供电。SE9518获电后开始工作。它内存8中基本花样,每种花样有16种状态,利用4个编程端可以实现27种不同组合。在进行多次循环时,每种花样有8次、4次、2次等多种循环形式,可产生奇妙的梦幻效果。SE9518的8种基本花样是:单点右旋A;单点旋左B;卷窗式C;闪烁加依次灭D;弹性涨缩闪烁E;星星闪烁F;拉幕式闪光G;单点交叉追逐H。 从SE9518输出端Q0Q7输出的高电平,使与之相接的VT0VT7相应导通,在三极管导通时,其集电极的发光二极管闪烁,以做监视,三极管射极输出的电流经电阻R0R7及二极管VD0VD7后

27、触发双向晶闸管VS0VS7导通,从而驱动彩灯群随IC的编程不同而产生相应的花样闪烁。调节RP可以改变闪光速率。图1.1 单片SE9518的典型应用电路(图中省略了输出部分Q1Q6)表1.1 SE9518的花样控制方式 b 彩灯控制专用集成电路CCDD-1A这种集成电路实际上就是一个基本输入(IN0IN6)、输出(OUT0OUT7)控制器,对输入信息的处理都在集成电路内由程序控制,内部内存花样多达32种样式控制程序。其中IN0IN4为花样编码,IN5和IN6用来调整变化速率。CCDD-1A的典型电路图如图1.2所示。C1,R1是CCDD-1A的上电复位电路。DIP-7是一个7位双态拨动开关。如果

28、觉得彩灯的变化速率范围不符合要求,可以适当调节晶振CRYSTAL的频率参数。晶振频率越高,变化速率就越快。图1.2 CCDD-1A的典型应用及引脚功能表1.1是控制花样的编码表K1K2K3K4K5每个变化周期花样形式说明00001单灯亮:1到8逐一点亮00011单灯亮:1到8逐一点亮,再8到1转回00101光柱亮:从1亮到800111光柱亮:从1亮到8,再从8回熄到101001跳动:112123全灭223234全灭334345全灭667678全灭01011双灯亮:12233445566778灭01101双灯亮:132435465768灭011111灭12灭123灭1234灭12345灭1234

29、56灭1234567灭12345678灭11110全部按鼓点闪:X-X-X-XX-X-X-X-XX说明:1.K按通表示状态0,开为1;2.K6,K7主要控制速度,00为最慢,11为最快(如果速度不合适,可以通过改变晶振的频率来实现);3.INT0INT6分别对应外接的开关为K1K6.c 多功能程控彩灯CD71061P及其应用CD71061P的典型应用电路原理图如1.3所示。合上电源开关S后,IC开始工作,其输出端Q1Q8便按照内存的花样出现或高或低的电平,驱动8只发光二极管LED1LED8作花样闪亮。内存6种基本花样为:弹性涨缩、全亮间隔闪烁、向左倒流水、向右顺流水、向右依次亮同时熄灭、同时亮

30、向左依次熄灭。通过编程端B1B4与VDD,VSS的不同组合连接,则可以得到20多种花样变化。C2和RP的数值决定了CD71061P内部振荡器的工作频率。调节RP的阻值可以控制彩灯变化节奏的快慢,从而选择最合适的节奏。图1.3 CD71061P的典型应用电路相关的彩灯控制集成器件还有诸如SMC5618,SH803,CD71017,HJ94015等等,从其上的介绍可以看出利用集成器件设计的控制电路都是利用专用器件的编程控制端实现彩灯花样的多种变换,内存多种基本花样是其共同的特点。使用这类器件设计电路,我们只要围绕它的辅助电路来展开就可以很好的发挥其作用,达到所需的目的。2) 利用传统的触发器件和T

31、TL门电路完成控制电路, 这一类控制器的大致思路如下图所示下面介绍集中具体实例 a 由555定时器构成74LS194构成的简单彩灯控制电路该电路主要三个模块构成,显示电路秒脉冲电路和维持电路。秒脉冲电路全程为电路提供矩形波信号使彩灯定时发亮;显示电路为维持电路提供电源:维持电路在显示电路部分提供电源的情况下为电路提供一段较长的高电平,使彩灯在全部变亮后保持一段时间。同时结合显示电路部分所带元件(主要是74LS194)的性质,使彩灯从右到左依次由暗变亮,亮后维持一段时间,然后熄灭,并且不断重复。它的具体电路应用电路如下a 在这里主要介绍的是利用NE555实现的彩灯循环控制器电路。该循环钚控制电路

32、由555定时器、同步4位二进制计数器74HCl63和4线-16线译码器分配器74HCl54组成。如图1.4所示。 电路中555定时器组成多谐振荡器,输出一定频率的矩形脉冲。74HCl63是同步4位二进制计数器,当输入周期性脉冲信号时,其输出为二进制数形式,并且随着脉冲信号的输入,其输出在0000-1111之间循环变化。通过4线-16线译码器74HCl54,其16条输出线按照74HCl63所加的二进制数依次变成低电平,哪条输出线为低电平,与它相连的发光二极管就亮。因任一时刻,只有1个发光二极管亮,故所有16个发光二极管只接1个限流电阻。该电路的16个发光二极管若组成一个环状,则发光二极管依次点亮

33、时,就像一个光环在滚动一样,可用在灯光布置或装饰上。图1.4 利用基本555定时器和TTL器件完成的控制电路b 由NE555参于设计的反射式楼道照明灯控制器如图1.5所示,发射器由IC1和R1,R2,R3,C1构成多谐振荡器,IC1的3脚输出振荡脉冲信号驱动红外发射管,发出40KHZ的红外光脉冲。接收器IC2为红外线接受放大电路,IC3和R11,C8构成单稳延时电路。光敏电阻MG和R12构成光控电路,白天时强制4脚为低电平,使电路处于等待状态。夜间有人经过时,发射器发出的红外光被人体部分反射,并被接收器接收,此信号经IC2放大整形从7脚输出低电平,BG导通,IC3被置位,3脚输出高电平,继电器

34、吸合。此时电路由单稳态变为暂稳态,电容C8经R11充电,其电压上升到2/3VCC时IC复位,继电器断开,灯灭.图1.5 反射式楼道照明灯控制器3) 利用单片机设计的彩灯控制器在这里主要介绍了利用汇编语言与VHDL语言设计的彩灯控制器。a 基于AT89C51单片机的LED彩灯控制器设计本方案以AT89C51 单片机作为主控核心, 与键盘、显示、驱动等模块组成核心主控制模块。在主控模块上设有8 个按键和5 位七段码LED显示器,根据用户需要可以编写若干种亮灯模式,利用其内部定时器T 0 实现一个基本单位时间为5ms的定时中断, 根据各种亮灯时间的不同需要, 在不同时刻输出灯亮或灯灭的控制信号, 然

35、后驱动各种颜色的灯亮或灭。新型LED彩灯系统包括2大部分:LED彩灯控制器(89C51主控模块)和LED彩灯管(管内LED 板模块)。前者是主控模块,具有按键、显示等功能,并利用89C51的P口输出控制信号;后者是受控模块, 上面焊有三色L ED 彩灯和信号驱动芯片, 模块置于L ED 的透明灯管内。主控模块电路如图1.6所示。主控模块主要设计器件有89C51,5个七段码LED显示器, 8个按键,2个稳压器(提供12V,5V电压),1个信号输出驱动模块芯片(MC4049)等。通过软件设计,使单片机P0口作为三色LED驱动信号输出口及移位时钟CLOCK信号,P3口为按键输入口,P2口、P1 口与

36、5位七段码LED 相接作为显示器的输出口。管内LED板模块电路见图1.7管内LED板模块设计主要器件有LED彩灯(红、绿、蓝)、移位触发模块芯片CD4076等。根据实际应用彩灯长度需要,可将不同数量的该管内LED模块实现级连,组成一个完整的LED彩灯。考虑到功率损耗,LED板模块之间接口处用信号正向驱动模块芯片MC4049连接。每个LED板模块上均匀分布3种颜色LED灯,在实际制作PCB时采用红、绿、蓝3色互隔焊接方式,在电路板上把LED发光管按顺序L1(红)、L2 (绿)、L3(蓝)、L4(红)、L5 (绿)、L6(蓝) 依次均匀焊在板上成一条直线。为了得到更多的花样模式效果, 可以使红绿2

37、 种灯从前往后驱动点亮闪烁,蓝灯从后往前驱动点亮闪烁,这样具有很好的动感视觉效果。图1.6 主控模块电路的设计图 c以AT89C51单片机作为主控核心设计的LED彩灯控制器模块本方案以AT89C51单片机作为主控核心,与键盘、显示、驱动等模块组成核心主控制模块。在主控模块上设有8个按键和5位七段码LED显示器,根据用户需要可以编写若干种亮灯模式,利用其内部定时器T0实现一个基本单位时间为5 ms的定时中断,根据各种亮灯时间的不同需要,在不同时刻输出灯亮或灯灭的控制信号,然后驱动各种颜色的灯亮或灭,新型LED彩灯分为2部分,即彩灯控制器(主控模块)和管内LED板模块(受控模块)。整个系统工作由软

38、件程序控制运行,根据需要,用户可以在LED彩灯工作时通过主控模块上的按键来设定亮灯时间和灯光闪动频率。上电后系统经过初始化,查询是否有功能切换键按下:有,则进入用户设定模式状态;无,则进入默认缺省工作状态。在用户设定模式状态下,用户可以根据个人爱好及不同场合的需要来指定调用哪些模式,并且可以改变每种模式的时间Ti、频率Fi参数,如果用户想进入缺省状态模式,只需按一下功能切换键即可跳入缺省模式,程序会自动顺序调用亮灯模式;在缺省工作状态下,LED彩灯控制器按照程序设定好的若干亮灯花样模式程序Model_i顺序调用往下走,从第Model_1模式开始工作,自Model_1到Model_2到Mod e

39、l_n为一个亮灯周期,然后再回到Model_1循环继续工作,同样如果想进入用户设定模式状态,只需按下功能切换键即可。整个n种亮灯模式时间可以看作一个大周期T,其中的每一种花样工作模式时间为小周期T,对于每一个模式编写一个独立工作子程序,其中设定了LED三色灯(红、绿、蓝)的点亮时刻和熄灭时刻,以及模式工作时间Ti以及该模式LED闪烁频率Fi。5位七段码显示器的前2位显示当前工作模式的序号Model_i;后3位七段码 显示三色LED的工作状态,若该颜色灯点亮则对应七段码显示位为“1”,反之熄灭时则显示位为“灭”即不显示,对系统工作状态起到了很好的实时监控作用。该彩灯系统包括2大部分,即LED彩灯

40、控制器(89C51主控模块)和LED彩灯管(管内LED板模块)。前者是主控模块,具有按键、显示等功能,并利用89C51的P口输出控制信号;后者是受控模块,上面焊有三色LED彩灯和信号驱动芯片,模块置于LED的透明灯管内。主控制模块的电路如下:管内LED板模块硬件图图1.7管内LED板模块硬件设计图b 基于VHDL实现的十六路彩灯控制系统本次设计分为一个十六路彩灯花样控制器、一个四频率输出分频器,一个四选一控制器和一个时间选择器总共四部分来完成设计,整个十六路彩灯控制系统设计的模块图如图1.7所示。四选一控制器从分频器选择不同频率的时钟信号输送到彩灯花样控制器,从而达到控制彩灯闪烁速度的快慢,时

41、间选择器控制每种速度维持的时间长短。四个子模块,即十六路彩灯花样控制器、四频率输出分频器,四选一控制器和时间选择器,其子模块及其功能如下:1) 四频率输出分频器:在本次设计中,我们只设计了四种花样,我们要求这四种花样以不同的频率显示,而只有一个输入的时钟信号,所以我们对所输入的时钟信号进行2分频、4分频、8分频、16分频,得到四种频率信号,CLKDIV模块就是来完成此功能。2) 时间选择器:时间选择器实际上是两个分频器,其中一个频率是另一个频率的两倍。本来这两个分频器可以在上述的四频率输出器中实现的,但为了方便地为四选一控制器提供不同的时间选择条件,就将这两个分频器独立开来。这两个输出的的时钟

42、信号组合起来就可以为四选一控制器提供00、01、10、11四个时间选择条件,如图1.8所示。3) 四选一控制器:四选一控制器功能是从分频器中选择不同频率的时钟信号送给彩灯控制器,实现彩灯闪烁的频率变化。4) 彩灯控制器:彩灯控制电路是整个设计的核心,它负责整个设计的输出效果即各种彩灯图案的样式变化。该程序充分地说明了用VHDL设计电路的“弹”性,即可通过改变程序中输出变量Q的位数来改变彩灯的数目。其中,P1进程对灯闪的速度进行控制,有两种方式可改变灯闪的速度:一是改变外部时钟的赋值,二是改变信号U的位数。P2进程能进行彩灯的图案控制,改变s的位数即可改变要控制图案的数目,改变输出变量O的组合即

43、可变幻彩灯图案。 图1.7 整个十六路彩灯控制系统设计的模块图图1.8 时间选择示意图b.VHDL语言设计了一种可用于控制16路彩灯,具有4种彩灯变换模式,且变换速度可调的彩灯控制器,设计思路 在Quartus 开发环境下,用VHDL语言设计了一种可用于控制16路彩灯,具有4种彩灯变换模式,且变换速度可调的彩灯控制器。仿真结果表明,所设计的彩灯控制器成功地实现了4种变换模式的循环和各种变换速度的调节。彩灯控制部分通过输出1个16位二进制数(即彩灯输出信号Q)来控制16个彩灯,每一位二进制数对应1个彩灯的开关,当该位数字为“1”时灯亮,该位数字为“0”时灯灭。彩灯的变换共设置4种模式: s0模式

44、:只亮1个灯,从最左端逐个移动到最右端,即输出信号Q从第15位开始将1个“1”依次移动到第0位; s1模式:只亮1个灯,从最右端逐个移动到最左端,即输出信号Q从第0位开始将1个“1”依次移动到第15位; s2模式:亮2个灯,同时从左右两端向中间移动,即输出信号Q从第15位开始将1个“1”依次移动到第8位,同时从第0位开始将1个“1”依次移动到第7位; s3模式:亮2个灯,同时从中间向左右两端移动,即输出信号Q从第8位开始将1个“1”依次移动到第15位,同时从第7位开始将1个“1”依次移动到第0位。 四种模式依次循环,若复位信号RST输入为高电平,则循环中断,输出信号Q置零,彩灯全灭,RST恢复

45、为低电平后,再次从s0模式开始循环。 1.2 彩灯控制器的设计方法 本文中彩灯控制器主要采用的设计方法是利用集成器件完成花样多变换的工作。在目前,象这样的智能控制芯片相当的多,完全能达到所需要的目的。在选定了控制器件的情况下,对辅助电路进行相关的设计,从而达到自己设想的控制途径。对彩灯控制专用控制集成芯片的花样编程端口进行有线控制与无线控制电路的接口设计,从而达到两者皆能作用的目的。为了让两者能够并存共处,这里选择的是手动转换的方式,以串联的方式让它们存在并利用两个开关电路来选择其中一个通路控制。在有线控制上直接利用与地线相连的开关的断通决定编程端口出现高低电平从而选择相应花样变换。在无线控制

46、的机制上则利用无线发射器产生的信号脉冲通过编程端口接收电路的输入信号经过辅助驱动电路完成相应的花样选择实现彩灯的控制。具体的电路分析将在下面的章节进行详细介绍与分析。1.3 本文的主要工作本文的主要工作是利用在大学期间所接触过的基本元器件和集成器件,并结合自己所学知识设计实现彩灯控制器的彩灯显示效果的多样化,以及控制机制的多样化,并分析这些电路工作的原理和实现机制。同时对利用单片机技术实现的可能性进行一个简单的探讨。在设计过程中,感受和发挥好集成器件在现代电路设计方法上的优势,并根据自己的能力作出具有创新的尝试。1.4 本电路设计采用的技术和手段本电路设计采用专用集成控制器件CCDD-1A作为

47、主控制芯片,以TDC1808/TDC1809射频无线遥控收发电路作为无线控制部分,利用 CD4017 计数器,74LS148编码器和一定的门电路作为编程信号转换的驱动电路,配合显示电路,速率调节电路,复位电路和利用开关接地实现的有线控制部分,组成一个完整的彩灯控制器电路。在电路的设计过程中主要使用模块化设计方法,将所要实现的功能以及不同功能的辅助电路以模块化分组来实现。在前期先针对各个模块功能进行相应的设计和分析,然后在最后的设计阶段进行各模块的有效组合,考虑各模块之间的电源匹配和接口问题,并进行相应的改进和完善,以求达到一个完整系统的目的。最后将各模块连接即形成了完整的系统电路。2 多用途彩灯控制电路的研究2.1 控制电路介绍在本次的电路设计中控制电路主要完成的工作是控制彩灯花样变换方式的多样化以及调节彩灯花样变化的速率。对于变化速率的调节可以直接使用INT5,INT6引脚的悬空与接地实现.有关芯片的具体介绍可以见章节2.1.1。2.1.1 SE9518芯片专用集成控制

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 其他


经营许可证编号:宁ICP备18001539号-1