多功能路灯自动遥控器.doc

上传人:本田雅阁 文档编号:2040856 上传时间:2019-02-07 格式:DOC 页数:54 大小:585.51KB
返回 下载 相关 举报
多功能路灯自动遥控器.doc_第1页
第1页 / 共54页
多功能路灯自动遥控器.doc_第2页
第2页 / 共54页
多功能路灯自动遥控器.doc_第3页
第3页 / 共54页
亲,该文档总共54页,到这儿已超出免费预览范围,如果喜欢就下载吧!
资源描述

《多功能路灯自动遥控器.doc》由会员分享,可在线阅读,更多相关《多功能路灯自动遥控器.doc(54页珍藏版)》请在三一文库上搜索。

1、编号: 毕业设计说明书 题 目: 多功能路灯自动遥控器多功能路灯自动遥控器 学 院: 信息与通信学院 专 业: 电子信息工程 学生姓名: 王 妮 学 号: 0801130503 指导教师: 尚 玉 玲 职 称: 副研究员 题目类型 :理论研究 实验研究 工程设计 工程技术研究 软件开发 2012 年 5 月 20 日 桂林电子科技大学毕业设计(论文)报告用纸 摘 要 城市路灯照明是人们日常生活中必不可少的公共设施。路灯照明的耗电量约占总 耗电量的 15%,全国各地无不面对电力的紧张带来的各种问题。面对供电紧张形势,路 灯巡查对于市政部门来讲是一项需要耗费大量的人力的工作,各种临时应急节电措施

2、被广泛采用;如时间控制路灯的开关时间,亮度控制路灯的亮度,人体感应来控制路 灯的开关等等智能系统被广泛的应用。这样的节电措施,在缓解用电紧张的同时,却 带来资源的浪费和对人们日常生活的负面影响。缓解用电紧张的最佳和有效的办法是 对用电实施智能化管理,减少浪费,使我们的每一度电都能物尽其用! 本设计是以 STC12C5A60S2 为主控器的模拟路灯控制系统。采用 LCD1602 显示时间 和控制模式,系统可通过定时设定,对控制路灯的开启和关闭;同时通过光敏传感器 感应环境明暗的变化来调节灯的亮度功能,通过红外热释传感器感应是否有人通过来 控制路灯的开关。并且当路灯出现故障时,能够声光报警。还能红

3、外遥控系统控制路 灯系统的开关。实现了路灯控制系统的智能化。 本设计的智能路灯监控系统设计新颖、具有结构简单、安装方便、使用安全可靠 等优点,符合国家产业政策,具有明显的节能效果,对构建节约型社会具有积极意义, 社会效益显著。 关键词:STC12C5A60S2;定时设计;声光报警;智能化 桂林电子科技大学毕业设计(论文)报告用纸 Abstract City street lamp lighting is necessary in peoples daily life of the public facilities. Street lamp lighting power consumption

4、 accounted for about 15% of total power consumption, all over the country have to confront all kinds of problems which caused by the tension of power. Facing the power supply tensions, street lamp patrol for municipal department is a job that needs to consume a large amount of manpower. A variety of

5、 temporary emergency power saving measures are widely used, Such as using time to control switching time of the street lamps, though luminance to control street lamp brightness, with human body induction to control the street lamp switches and so on. These intelligent system has been widely applied.

6、 Such power saving measures can alleviate the shortage of electric power at the same time, but give rise to the waste of resources and bring negative influence to peoples daily life. The best and effective approach to ease the tension of electric power is implementing intelligent management for elec

7、tric, reducing the waste and making every once electricity can be used. This design uses STC12C5A60S2 as the main controller to analog street light control system. Using the LCD1602 to display time and control mode, system can adopt time setting to control on and off of the street lamp. Meanwhile, s

8、ystem can sense the environment of light and adjust the brightness of the light function with the photosensitive sensors. Through the infrared heat release sensors, system can sense whether someone passing by to control the street lamp switch. When the street lamp malfunction, sound and light can be

9、 use to alarm. Still it can use infrared to realize remote control of the street lamp switch. This system realizes intelligent control of the street lamp. This intelligent street lamp monitoring system design is novel. It has advantages of simple structure, easy installation, safe and reliable use.

10、Also it conforms to the national industrial policy and has obvious effect in energy saving. It has a positive significance for the construction of economical society and its social benefit is remarkable. KeyKey word:word: STC12C5A60S2;Regular design;Sound and light alarm;intelligent 桂林电子科技大学毕业设计(论文)

11、报告用纸 目 录 引言.1 1 系统整体设计1 1.1 设计要求1 1.1.1 基本设计要求.1 1.1.2 发挥部分1 1.1.3 方案步骤.1 1.2 系统设计总体方案2 1.3 方案的认证与比较.2 1.3.1 中央控制模块.2 1.3.2 显示模块.3 1.3.3 时钟功能及定时开关机3 1.3.4 环境光线变化自动控制灯亮灭的论证.3 1.3.5 物体经过自动控制灯亮状态的方案论证.3 1.3.6 路灯故障声光报警及显示的方案论证.4 2 硬件电路设计4 2.1 硬件设计原则4 2.2 中央控制模块设计5 2.2.1 STC12C5A60S2 单片机简介5 2.2.2 STC12C5

12、A60S2 功能特性描述.5 2.2.3 单片机最小系统.7 2.3 热释电人体红外传感器模块.8 2.3.1 热释电人体红外传感器的概述8 2.3.2 热释电红外探头的工作原理及特性.8 2.4 无线遥控模块9 2.4.1 nRF24.L01 简介.9 2.4.2 nRF24.L01 工作模式.9 2.4.3 nRF24.L01 工作原理.10 2.5 亮度调节及故障检测电路模块.10 2.5.1 LM2576 系统概述.10 2.5.2 LM2576 的应用11 2.5.3 MCP41010 概述.12 2.6 时钟模块设计14 2.6.1 DS1302 芯片概述14 2.6.2 DS13

13、02 工作原理14 桂林电子科技大学毕业设计(论文)报告用纸 2.6.3 DS1302 的电路设计.15 2.7 键盘、液晶显示电路15 2.7.1 键盘电路设计.15 2.7.2 LCD1602 显示电路设计.16 2.8 环境亮度检测电路及声光报警电路.17 2.8.1 亮度检测电路设计17 2.8.2 声光报警电路18 2.9 电源输入电路18 2.9.1 7805 概述18 2.9.2 7805 典型应用电路图19 3 PCB 板制作和印制19 3.1 硬制电路板的制作过程20 3.2 PCB 布线工艺要求21 3.3 测试电路和 PCB 布局原则21 3.4 电路组装.22 4 系统

14、软件设计.22 4.1 软件设计思想22 4.2 系统的调试及结果分析25 总结26 谢 辞27 参考文献28 附 录29 桂林电子科技大学毕业设计(论文)报告用纸 第 1 页 共 49 页 引言 伴随我国城市现代化建设的突飞猛进,城市路灯照明取得了巨大的成绩,成为一项 蓬勃发展的公共事业,同时为了符合节约型、可持续发展社会的标准,产生了对路灯监 管改革的需求。传统的路灯照明和管理存在浪费大,路灯使用寿命短,人工作业量大,故 障率高,统计查询功能弱等不足。为了解决“全夜灯”照明造成的浪费、 “半夜全灭或 半灭灯”带来的交通安全隐患等问题人们提出了各种方案。有的城镇设置光电控制电 路,利用光敏传

15、感器检测光线强度的变化,控制路灯在光线不足时自动点亮、光强增 大时自动关闭。这种方法存在着可靠性较低、易受干扰、灯具使用寿命短等问题。有 的地区采用分时控制的方法,根据时间来控制路灯的亮灭并调整路灯的供电电压以实 现节能的目的,相较上一种策略,该方法能够有效提高系统可靠性、增强抗干扰能力 并满足实际需求,但也伴随有灵活性低,不能根据具体天气、车流量调整路灯亮度的 缺点。 本设计综合几种方案,采用多种模式来控制路灯的开关和亮暗。 利用多种传感器 感应,并通过模数转换,单片机系统编程来控制路灯的开关和亮暗。 1 系统整体设计 1.1 设计要求 1.1.1 基本设计要求 (1)路灯可以实现多种开关功

16、能的控制和亮度的调节; (2)路灯故障的声光报警用 LED 和蜂鸣器来实现; (3)遥控器的遥控距离不小于 10 米; (4)使用 1602LCD 显示屏来进行时间显示。 1.1.2 发挥部分 (1)通过光敏传感器可控制灯的明暗程度; (2)通过多种模式来控制。 1.1.3 方案步骤 根据任务书上的要求进行综合分析,总设计方案分为以下几个步骤: (1)根据路灯控制系统的功能,选用合理元器件并画出总体原理图。 (2)画出各个程序流程图的各模块。 (3)根据流程图编写出各模块的程序。 (4)制作 PCB 版。 桂林电子科技大学毕业设计(论文)报告用纸 第 2 页 共 49 页 (5)完成主程序及实

17、现模块调用。 (6)硬件电路的焊接及调试。 (7)硬件软件的综合调试及程序烧制。 1.2 系统设计总体方案 根据题目要求,经过仔细分析,参考硬件软件的因素,大致以模式方式控制系统, 分别为时间段控制,亮度控制,热释红外传感器感应控制,红外遥控器控制,还有一 个声音报警系统。几种模式控制。方案的总设计系统总体框图如下: 图 1-1 系统设计总体框图 本设计的整体设计框图如上图 1-1 所示,以中央机控制系统为核心。通过传感器 感应环境信号在送到单片机处理。 1.3 方案的认证与比较 1.3.1 中央控制模块 方案 1:采用可编程逻辑器件 FPGA 作为控制器。FPGA 可以实现各种复杂的逻辑功

18、能、规模大、密度高、体积小、稳定性高、IO 资源丰富、易于进行功能扩展。采用并 行的输入输出方式,提高了系统的处理速度,适合作为大规模控制系统的控制核心。 但本系统不需要复杂的逻辑功能,对数据的处理速度的要求也不是非常高。且从使用、 功耗及经济的角度考虑我们放弃了此方案。 方案 2:STC12C5A60S2 是高速/低功耗/超强抗干扰的新一代 8051 单片机,指令代 码完全兼容传统 8051,但速度快 8-12 倍。内部集成 MAX810 专用复位电路,2 路 PWM,8 路高速 10 位 A/D 转换(250K/S),针对电机控制,强干扰场合。还可免去了 AT80S51 系 光线检测 遥控

19、控制 液晶显示 中央控制系统中央控制系统 时钟电路 移动物体红外探测 路灯控制器路灯 声光报警系统 桂林电子科技大学毕业设计(论文)报告用纸 第 3 页 共 49 页 统还需用有 A/D 转换器转换模拟信号。所以我用了方案 2 来设计。 1.3.2 显示模块 方案一:用 LED 数码显示,控制起来比较简单,编程也不是很难,可以明了的显 示数字,但在实际电路当中,焊接比较烦琐,占用空间大,性价比不高,对人眼有一 定的损害,还要有相应的芯片(如 74HC573N 芯片等)组合,占用资源。若要同时显示 多个字符,所用的 LED 数量大,占用面广,却接口也多。 方案二:采用 LCD-1602 液晶显示

20、,其显示是采用背光照亮,对人眼损害很弱,再 次 LCD 又是一种节能灯,功耗小,使用寿命长等优点,不需要外加芯片组合,可以直 接与单片机连接。单片机可以通过软件编程,来实现对液晶的显示的控制与设定。使 用起来十分方便,有长远的价值。所以我们采用此方案 。 1.3.3 时钟功能及定时开关机 方案一:采用专用时钟芯片。现在流行的串行时钟电路很多,如 DS1302、 DS1307、PCF8563 等。其优势是可以单独使用,直接连接单片机外围,有自己独立的时 钟晶振,精度较高。单片机通过串行接口取和写入当前的时钟值,时钟芯片的运行受 单片机死机的影响少。由于有时钟芯片 DS1302 故本方案采用专用时

21、钟芯片。 方案二:采用单片机内置时钟振荡电路及定时器构建时间平台。本方案直接利用 单片机的内置定时器,通过定时器的中断和简单运算实现时钟功能。优点是减少外围 芯片的使用,缺点是占用了大量的单片机资源。本设计不采用此方案。 1.3.4 环境光线变化自动控制灯亮灭的论证 方案一:简单的用一个电阻加一个光敏电阻,串联起来,通过遮光和不遮光来采 集中间电压的变化,然后传给单片机,由单片机来进行信号外理,完成信号传递。从 理论上来说,这种方法是不错的,简单易得,但在实际操作当中,往往有所不如意, 主要是传出来的信号电平,不是突变的,也就是说光线照在光敏电阻强度不是跳变的, 造成信号的不稳定,所以此种方案

22、不适用。 方案二:用一个电阻与光敏电阻串联,先把信号传给比较器,再送入单片机内, 完成信号传输。这里把光敏产生的信号送给比较器,主要是用它来整形,在比较器的 反向输入端,用电阻分压,作为基准电压,使得经过比较器后的电压,只有两种状态, 即高电平和低电平。如此一来,单片机便可以有效的识别信号了,提高了准确性。综 上所述,所以我们采用方案二。 1.3.5 物体经过自动控制灯亮状态的方案论证 方案一:在模块上安装霍尔传感器,当有金属物体通过的时候它可以检测磁场及 桂林电子科技大学毕业设计(论文)报告用纸 第 4 页 共 49 页 其变化,就能检测到物体,再把信号传送给单片机,由单片机发出指令去控制灯

23、的开 关。 方案二:在模块上安装红外热释传感器,当有物体通过的时候发射光会被物体所 遮挡,此时接收端没有接收到信号,再把当前状态信号传给单片机,由单片机发出指 令去控制灯的开关。霍尔传感器由于受物体性质材料的限制,会影响灵敏度。所以采 用方案二。 1.3.6 路灯故障声光报警及显示的方案论证 方案一:在路灯上安装一个光敏传感器,单片机对路灯发送指令,光敏传感器将 当前路灯状态传送给单片机,单片机再对光敏传感器返回的信号进行比较,来识别路 灯是否故障。 方案二:通过测量采样电阻反馈回来的电压值进行比较,来判断路灯是否故障。 因为光敏传感器在白天容易受环境光线的影响,另一方面考虑到题目要求的性价比

24、, 所以我们采用方案二。 2 硬件电路设计 2.1 硬件设计原则 一般对于大型的硬件设计的主要思路如下: 一个大型的单片机应用系统的硬件电路设计包含两部分内容:一是系统扩展,二 是系统的配置,即按照系统功能要求配置外围设备,要设计合适的接口电路。系统的 扩展和配置应遵循以下原则: (1)尽可能选择典型电路,为硬件系统的标准化、模块化打下良好的基础。 (2)系统扩展与外围设备的配置水平应充分满足应用系统的功能要求。 (3)硬件结构应结合程序设计方案一并考虑。考虑的原则是:软件能实现的功能尽 可能由软件实现,以简化硬件结构。 (4)系统中的相关元器件要尽可能做到性能匹配。 (5)可靠性及抗干扰设计

25、是硬件设计必不可少的一部分。 (6)尽量减少外围。系统器件越多,器件之间相互干扰也越强,功耗也增大,也不 可避免地降低了系统的稳定性,因而在选择器件上尽量的简洁。 由于本次的毕业设计是一个单片机的小型系统,所以对于上述需要注意的事项在这 次设计中并不需要面面俱到,我总结了一下,在这次设计中需要注意的问题有: (1)注意硬件方案和软件方案的结合。 (2)电路的抗干扰性能。 (3)对元器件的保护能力,要在电路中对电流敏感元器件进行限流控制。 硬件设计是本次设计的基础,它的成功与否关系到本次毕业设计的成败。首先我 桂林电子科技大学毕业设计(论文)报告用纸 第 5 页 共 49 页 们依然是对系统进行

26、分析,分析它有些什么功能,用什么样的器件才能实现。 2.2 中央控制模块设计 2.2.1 STC12C5A60S2 单片机简介 STC12C5A60S2/AD/PWM 系列单片机是宏晶科技生产的单时钟/机器周期(1T)的单片 机,是高速/低功耗/超强抗干扰的新一代 8051 单片机,指令代码完全兼容传统 8051, 但速度快 8-12 倍。内部集成 MAX810 专用复位电路,2 路 PWM,8 路高速 10 位 A/D 转换 (250K/S),针对电机控制,强干扰场合。 2.2.2 STC12C5A60S2 功能特性描述 如下图 2-1 所示 STC12C5A60S2 的引脚功能图 图 2-

27、1 STC12C5A60S2 的引脚功能图 1.增强型 8051 CPU,1T,单时钟/机器周期,指令代码完全兼容传统 8051。 2.工作电压:STC12C5A60S2 系列工作电压:5.5V- 3.3V(5V 单片机) STC12LE5A60S2 系列工作电压:3.6V- 2.2V(3V 单片机) 。 3.工作频率范围:0 - 35MHz,相当于普通 8051 的 0420MHz。 4.用户应用程序空间 8K /16K / 20K / 32K / 40K / 48K / 52K / 60K / 62K 字 节。 5.片上集成 1280 字节 RAM。 桂林电子科技大学毕业设计(论文)报告用

28、纸 第 6 页 共 49 页 6.通用 I/O 口(36/40/44 个) ,复位后为:准双向口/弱上拉(普通 8051 传统 I/O 口)可设置成四种模式:准双向口/弱上拉,推挽/强上拉,仅为输入/高阻,开漏每个 I/O 口驱动能力均可达到 20mA,但整个芯片最大不要超过 55mA。 7. ISP(在系统可编程)/IAP(在应用可编程) ,无需专用编程器,无需专用仿真 器 可通过串口(P3.0/P3.1)直接下载用户程序,数秒即可完成一片。 8.有 EEPROM 功能(STC12C5A62S2/AD/PWM 无内部 EEPROM)。 9. 看门狗。 10.内部集成 MAX810 专用复位电

29、路(外部晶体 12M 以下时,复位脚可直接 1K 电阻 到地) 。 11.外部掉电检测电路:在 P4.6 口有一个低压门槛比较器 5V 单片机为 1.32V,误 差为+/-5%,3.3V 单片机为 1.30V,误差为+/-3%。 12.时钟源:外部高精度晶体/时钟,内部 R/C 振荡器(温漂为+/-5%到+/-10%以内) 1 用户在下载用户程序时,可选择是使用内部 R/C 振荡器还是外部晶体/时钟,常温下 内部 R/C 振荡器频率为:5.0V 单片机为:11MHz15.5MHz,3.3V 单片机为: 8MHz12MHz 精度要求不高时,可选择使用内部时钟,但因为有制造误差和温漂,以实 际测试

30、为准。 13.共 4 个 16 位定时器两个与传统 8051 兼容的定时器/计数器,16 位定时器 T0 和 T1,没有定时器 2,但有独立波特率发生器做串行通讯的波特率发生器再加上 2 路 PCA 模块可再实现 2 个 16 位定时器。 14. 2 个时钟输出口,可由 T0 的溢出在 P3.4/T0 输出时钟,可由 T1 的溢出在 P3.5/T1 输出时钟。 15.外部中断 I/O 口 7 路,传统的下降沿中断或低电平触发中断,并新增支持上升沿 中断的 PCA 模块, Power Down 模式可由外部中断唤醒, INT0/P3.2, INT1/P3.3, T0/P3.4, T1/P3.5,

31、 RxD/P3.0, CCP0/P1.3(也可通过寄存器设置到 P4.2 ), CCP1/P1.4 (也可通过寄存器设置到 P4.3)。 16. PWM(2 路)/PCA(可编程计数器阵列,2 路;也可用来当 2 路 D/A 使用;也可 用来再实现 2 个定时器;也可用来再实现 2 个外部中断(上升沿中断/下降沿中断均可 分别或同时支持)。 17.A/D 转换, 10 位精度 ADC,共 8 路,转换速度可达 250K/S(每秒钟 25 万次)。 18.通用全双工异步串行口(UART),由于 STC12 系列是高速的 8051,可再用定时器 或 PCA 软件实现多串口。 19. STC12C5

32、A60S2 系列有双串口,后缀有 S2 标志的才有双串口,RxD2/P1.2(可通 过寄存器设置到 P4.2),TxD2/P1.3(可通过寄存器设置到 P4.3)。 20.工作温度范围:-40 - +85(工业级) / 0 - 75(商业级)21.封装:PDIP- 40,LQFP-44,LQFP-48 I/O 口不够时,可用 2 到 3 根普通 I/O 口线外接 桂林电子科技大学毕业设计(论文)报告用纸 第 7 页 共 49 页 74HC164/165/595(均可级联)来扩展 I/O 口, 还可用 A/D 做按键扫描来节省 I/O 口, 或用双 CPU,三线通信,还多了串口。用复位电路,2

33、路 PWM,8 路高速 10 位 A/D 转换 (250K/S),针对电机控制,强干扰场合。 2.2.3 单片机最小系统 (1) 振荡器 单片机系统正常工作的保证,如果振荡器不起振,系统将会不能工作;假如振荡 器运行不规律,系统执行程序的时候就会出现时间上的误差,这在通信中会体现的很 明显,电路将无法通信。它是由一个晶振和两个电容组成的。如图 2-2 所示: 图 2-2 振荡电路 晶振的选择: 6MHz 的晶振,其机器周期是 2us。12MHz 的晶振,其机器周期是 1us, 也就是说在执行同一条指令时用 6MHz 的晶振所用的时间是 12MHz 晶振的两倍。 为了提高整个系统的性能我选择了

34、12MHz 的晶振。 振荡方式的选择:内部振荡方式,MCS-51 内部都有一个反相放大器, XTAL1、XTAL2 分别为反相放大器输入和输出端,外接定时反馈元件以后就组成振荡器, 产生时钟送至单片机内部的各个部件,这样就构成了内部振荡方式。外部振荡方式是 把已有的时钟信号引入单片机内。这种方式适合用来使单片机的时钟与外部信号一致。 在我的这个设计中没有也无需与外部时钟信号一致,所以我选择了内部振荡方式,由 于单片机内部有一个高增益反相放大器,当外接晶振后,就构成了自激振荡器并产生 振荡时钟脉冲。晶振我选择了 12MHz,相对于 6MHz 的晶振,整个系统的运行速度更快 了。电容器 C1、C2

35、 起稳定振荡频率、快速起振的作用,电容值我选择了 30pF。内部振 荡方式所得的时钟信号稳定性高。 (2)复位电路 对于 NMOS 型单片机,在 RST 复位端接一个电容至 VccHE 一个电阻至 Vss,就能 实现上电自动复位,对于 CMOS 单片机只要接一个电容至 Vcc 即可。如图,在加电瞬间, 电容通过电阻充电,就在 RST 端出现一定时间的高电平,只要高电平时间足够长,就 可以使 MCS-51 有效地复位。RST 端在加电时应保持的高电平时间包括 Vcc 的上升时间 桂林电子科技大学毕业设计(论文)报告用纸 第 8 页 共 49 页 和振荡器起振时间,Vcc 上升时间若为 10ms,

36、振荡器起振时间和频率有关。10MHz 时间 约为 1ms,1MHz 时约为 10ms,所以一般为了可靠地复位,RST 在上电时应保持 20ms 以 上的高电平。图 4 中,RC 时间常数越大,上电时 RST 端保持高电平的时间越长。振荡 频率为 12MHZ 时,典型值为 C=10uF,R=8.2k。若复位电路失效,加电后 CPU 从一个随 机的状态开始工作,系统就不能正常运转。复位电路如图 2-3 所示: 图 2-3 复位电路 单片机一个复位信号使程序从头开始执行,一般有两种复位方式:上电复位,在 系统一上电时利用电容两端电压不能突变的原理给系统一个短时的低电平;手动复位, 同时按钮接通低电平

37、给系统复位。 2.3 热释电人体红外传感器模块 2.3.1 热释电人体红外传感器的概述 热释电红外线传感器主要是由一种高热电系数的材料,如锆钛酸铅系陶瓷、钽酸 锂、硫酸三甘钛等制成尺寸为 2*1mm 的探测元件。在每个探测器内装入一个或两个探 测元件,并将两个探测元件以反极性串联,以抑制由于自身温度升高而产生的干扰。 由探测元件将探测并接收到的红外辐射转变成微弱的电压信号,经装在探头内的场效 应管放大后向外输出。为了提高探测器的探测灵敏度以增大探测距离,一般在探测器 的前方装设一个菲涅尔透镜,该透镜用透明塑料制成,将透镜的上、下两部分各分成 若干等份,制成一种具有特殊光学系统的透镜,它和放大电

38、路相配合,可将信号放大 70 分贝以上,这样就可以测出 10-20 米范围内人的行动。 桂林电子科技大学毕业设计(论文)报告用纸 第 9 页 共 49 页 2.3.2 热释电红外探头的工作原理及特性 人体都有恒定的体温,一般在 37 度,所以会发出特定波长 10UM 左右的红外线, 被动式红外探头就是靠探测人体发射的 10UM 左右的红外线而进行工作的。人体发射的 10UM 左右的红外线通过菲泥尔滤光片增强后聚集到红外感应源上。红外感应源通常采 用热释电元件,这种元件在接收到人体红外辐射温度发生变化时就会失去电荷平衡, 向外释放电荷,后续电路经检测处理后就能产生报警信号。 图 2-4 热释电红

39、外接口 此模块只有三个接口,如图 2-4 所示分别是电源(VCC) 、地(GND) 、输出(OUT) , 在一般情况下输出是低电平,当有人经过的时候,则输出高电平,输出高电平的时间 延迟可以通过模块上的电位器来调节,单片机只要检测到 OUT 引脚上输出的是高电平, 就知道有人经过了。 2.4 无线遥控模块 无线遥控用的是 nRF24L01 模块来实现的,发射模块(遥控器)按下一个按键,对 应的接收模块就会有一个引脚拉低,相当于主电路板上的对应的按键按下一样,这样 就可以实现了用遥控器也能实现主电路板上的控制操作了,对照图 3 和图 4 即可看出 接收模块上的 5 个输出接口是和主电路板上的 5

40、 个按键连接在一起的。 2.4.1 nRF24.L01 简介 nRF24.L01 是一款新型单片射频收发器件,工作于 2.4 GHz2.5 GHz ISM 频段。内 置频率合成器、功率放大器、晶体振荡器、调制器等功能模块,并融合了增强型 ShockBurst 技术,其中输出功率和通信频道可通过程序进行配置。nRF24L01 功耗低, 在以-6 dBm 的功率发射时,工作电流也只有 9 mA;接收时,工作电流只有 12.3 mA,多 种低功率工作模式(掉电模式和空闲模式)使节能设计更方便。 2.4.2 nRF24.L01 工作模式 通过配置寄存器可将 nRF241L01 配置为发射、接收、空闲及

41、掉电四种工作模式, 桂林电子科技大学毕业设计(论文)报告用纸 第 10 页 共 49 页 如表 1 所示。 表 1-1 nRF24.L01 工作模式 模式 PWR_UPPRIM_RXCE FIFO 寄存器状态 接收模式 111- 发射模式 101 数据在 TXFIFO 寄存器中 发射模式 1010 停留在发送模式,直至数据发送完 待机模式 2 101 TXFIFO 为空 待机模式 1 1-0 无数据传输 掉电 0- 待机模式 1 主要用于降低电流损耗,在该模式下晶体振荡器仍然是工作的; 待机 模式 2 则是在当 FIFO 寄存器为空且 CE=1 时进入此模式;待机模式下,所有配置字仍 然保留。

42、在掉电模式下电流损耗最小,同时 nRF24L01 也不工作,但其所有配置寄存器 的值仍然保留。 2.4.3 nRF24.L01 工作原理 发射数据时,首先将 nRF24L01 配置为发射模式:接着把接收节点地址 TX_ADDR 和 有效数据 TX_PLD 按照时序由 SPI 口写入 nRF24L01 缓存区,TX_PLD 必须在 CSN 为低时 连续写入,而 TX_ADDR 在发射时写入一次即可,然后 CE 置为高电平并保持至少 10s,延迟 130s 后发射数据;若自动应答开启,那么 nRF24L01 在发射数据后立即 进入接收模式,接收应答信号(自动应答接收地址应该与接收节点地址 TX_A

43、DDR 一致) 。 如果收到应答,则认为此次通信成功,TX_DS 置高,同时 TX_PLD 从 TX FIFO 中清除;若 未收到应答,则自动重新发射该数据(自动重发已开启),若重发次数(ARC)达到上限, MAX_RT 置高,TX FIFO 中数据保留以便再次重发;MAX_RT 或 TX_DS 置高时,使 IRQ 变低, 产生中断,通知 MCU。最后发射成功时,若 CE 为低则 nRF24L01 进入空闲模式 1;若发送 堆栈中有数据且 CE 为高,则进入下一次发射;若发送堆栈中无数据且 CE 为高,则进入 空闲模式 2。接收数据时,首先将 nRF24L01 配置为接收模式,接着延迟 130

44、s 进入接 收状态等待数据的到来。当接收方检测到有效的地址和 CRC 时,就将数据包存储在 RX FIFO 中,同时中断标志位 RX_DR 置高,IRQ 变低,产生中断,通知 MCU 去取数据。若 此时自动应答开启,接收方则同时进入发射状态回传应答信号。最后接收成功时,若 CE 变低,则 nRF24L01 进入空闲模式 1。 桂林电子科技大学毕业设计(论文)报告用纸 第 11 页 共 49 页 2.5 亮度调节及故障检测电路模块 2.5.1 LM2576 系统概述 LM2576 系统的稳压器是单片集成电路,能提供降压开关稳压的各种功能,能驱动 3A 的负载,有优异的线性和负载调整能力。这些器件

45、的固定输出电压有 3.3V、5V、12V、25V,还有可调整输出型号。这些稳压器内部含有频率补偿器和一个固 定频率振荡器,将外部元件的数目减到最小,使用简便。LM2376 的效率比流行的三段 线性稳压器要高得多,是理想的替代。一般情况下不需要或只要小尺寸的外加散热片。 已经优化可和 LM2576 一起使用的标准系统电感由好几个不同的电感生成商提供。此特 征大大简化了开关电源的设计。其它的特征包括:在指定输入电压和输出负载条件下 保证输出电压的4%误差,以及振荡器频率的10%误差,还包括外部的判断电路,特 征有 50A 待机电流。输出开关包括逐周限流,以及在故障状态下提供完全保护的热 关断功能。

46、特点:3.3V,5V,12V,15V 和可调节输出电压型号;可调节输出型号输出电压 范围在线性和负载条件下 1.2337V 最大4%;保证 3.0V 输出电流;输入电压范围广, 40V 至 HV 型号的 60V;只需 4 个外部器件支持;52KHz 固定频率内部振荡器;TTL 关断 能力,低功耗待机模式;高效率;使用现成可用的标准电感;热关断及电流限制保护。 2.5.2 LM2576 的应用 简单高效的降压(Buck)稳压器;线性稳压器的高效预稳压器;卡上开关稳压器; 正到负的变换器;负升压变换器;为电池充电器做电源;与 Natinal Semi ,On Semi. 与 LM2576 完全互换

47、。亮度调节 = 电压调节。而 LM2576 的输出电压与 R1、R2 的关系 如图 2-5 中所示 图 2-5 LM2576 应用电路 而图 2-6 是本设计所用的电路 桂林电子科技大学毕业设计(论文)报告用纸 第 12 页 共 49 页 图 2-6 LM2576 电路应用图 图 2-5 的 R16 对应图 2-6 的 R2,图 2-6 的 MCP41010 对应图 2-5 的 R1 由公式可以知道,R2 的阻值是固定的,只要改变 R1 的阻值,就可以改变 Vout 的 电压值了,而 R1 即是数字电位器 MCP41010,要调节亮度,单片机就通过调节数字电位 器的阻值就可以实现了! 2.5.

48、3 MCP41010 概述 MCP41010 是 Microchip 公司生产的一种集成数字电位器。它在单一芯片上集成一 个 10 k 数字电位器,电位器的滑动端共有 256 个离散的调节节点,并有一个 8 b 的 E2PROM 数据寄存器,直接控制滑刷在电位器上滑动端的位置。用户可以通过相应指令 往数据寄存器写 8 位字,调节精度可达 256。MCP41010 芯片具有工业标准的 SPI 同步 串口,可以实现寄存器操作,从而改变滑刷的位置。 图 2-7 MCP41010 引脚图 MCP41010 采用 8 引脚双列直插封装,其引脚排列如图 2-7 所示。其中 PW0 为电位 桂林电子科技大学

49、毕业设计(论文)报告用纸 第 13 页 共 49 页 器滑动端;PA0,PB0 为电位器的两个终端;SCK,SI 为 SPI 总线的串行时钟和串行数 据线。MCP41010 工作电压为 2755 V。上电复位时,数据寄存器自动设定为 80H,滑动端 PW0 指向 PA0 与 PB0 的中间。MCP41010 的内部结构如图 9 所示,从图 9 中 可以看出,此芯片内含有:SPI 总线接口、一个 POT(电位器)。POT 内有一个 8 b 滑刷 控制数据寄存器。 图 2-8 MCP41010 的内部结构图 MCP41010 的控制方式:MCP41010 具有 SPI 总线接口,采用简单的 2 B 指令结构。 它的控制方式非常简单,可以采用 SPI 总线通信,也可以采用软件模拟 SPI 总线时序。 MCP41010 的指令格式: MCP41010 的指令非常简单,由两段组成每段均有一个字节: 第一段为命令字节,第二段为数据。命令字节中第 2,3 位和 6,

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 其他


经营许可证编号:宁ICP备18001539号-1