cordic算法verilog实现(简单版).doc

上传人:白大夫 文档编号:3250673 上传时间:2019-08-06 格式:DOC 页数:1 大小:15.50KB
返回 下载 相关 举报
cordic算法verilog实现(简单版).doc_第1页
第1页 / 共1页
亲,该文档总共1页,全部预览完了,如果喜欢就下载吧!
资源描述

《cordic算法verilog实现(简单版).doc》由会员分享,可在线阅读,更多相关《cordic算法verilog实现(简单版).doc(1页珍藏版)》请在三一文库上搜索。

1、cordic算法verilog实现(简单版)cordic算法verilog实现(简单版)(转载)module cordic(clk, phi, cos, sin);parameter W = 13, W_Z = 14;inputclk;input W_Z-1:0 phi;outputW-1:0 cos, sin;reg W-1:0 cos, sin;reg W-1:0 x8:0, y8:0;reg W_Z-1:0 z7:0;always (posedge clk)beginx0 = 13h4D;/ 修正CORDIC算法的比例因子,An的倒数y0 = 13h00;z0 = phi;/ 旋转45度

2、if(z0W_Z-1) beginx1 = x0 + y0;y1 = y0 - x0;z1 = z0 + 14h65;endelse beginx1 = x0 - y0;y1 = y0 + x0;z1 = z0 - 14h65;end/ 旋转26.57度if(z1W_Z-1)beginx2 = x1 + 1y1W-1, y1W-1:1;y2 = y1 - 1x1W-1, x1W-1:1;z2 = z1 + 14h3B;endelse beginx2 = x1 - 1y1W-1, y1W-1:1;y2 = y1 + 1x1W-1, x1W-1:1;z2 = z1 - 14h3B;end/ 旋转1

3、4.04度if(z2W_Z-1)beginx3 = x2 + 2y2W-1, y2W-1:2;y3 = y2 - 2x2W-1, x2W-1:2;z3 = z2 + 14h1F;endelse beginx3 = x2 - 2y2W-1, y2W-1:2;y3 = y2 + 2x2W-1, x2W-1:2;z3 = z2 - 14h1F;end/ 旋转7.13度if(z3W_Z-1)beginx4 = x3 + 3y3W-1, y3W-1:3;y4 = y3 - 3x3W-1, x3W-1:3;z4 = z3 + 14h10;endelse beginx4 = x3 - 3y3W-1, y3W

4、-1:3;y4 = y3 + 3x3W-1, x3W-1:3;z4 = z3 - 14h10;end/ 旋转3.58度if(z4W_Z-1)beginx5 = x4 + 4y4W-1, y4W-1:4;y5 = y4 - 4x4W-1, x4W-1:4;z5 = z4 + 14h8;endelse beginx5 = x4 - 4y4W-1, y4W-1:4;y5 = y4 + 4x4W-1, x4W-1:4;z5 = z4 - 14h8;end/ 旋转1.79度if(z5W_Z-1)beginx6 = x5 + 5y5W-1, y5W-1:5;y6 = y5 - 5x5W-1, x5W-1:

5、5;z6 = z5 + 14h4;endelse beginx6 = x5 - 5y5W-1, y5W-1:5;y6 = y5 + 5x5W-1, x5W-1:5;z6 = z5 - 14h4;end/ 旋转0.90度if(z6W_Z-1)beginx7 = x6 + 6y6W-1, y6W-1:6;y7 = y6 - 6x6W-1,x6W-1:6;z7 = z6 + 14h2;endelse beginx7 = x6 - 6y6W-1, y6W-1:6;y7 = y6 + 6x6W-1, x6W-1:6;z7 = z6 - 14h2;end/ 旋转0.45度if(z7W_Z-1)beginx8 = x7 + 7y7W-1, y7W-1:7;y8 = y7 - 7x7W-1, x7W-1:7;endelse beginx8 = x7 - 7y7W-1, y7W-1:7;y8 = y7 + 7x7W-1, x7W-1:7;endcos = x8;sin = y8;endendmodule

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 其他


经营许可证编号:宁ICP备18001539号-1