FPGA学习系列:7. 串并转换.doc

上传人:白大夫 文档编号:3251736 上传时间:2019-08-06 格式:DOC 页数:3 大小:19KB
返回 下载 相关 举报
FPGA学习系列:7. 串并转换.doc_第1页
第1页 / 共3页
亲,该文档总共3页,到这儿已超出免费预览范围,如果喜欢就下载吧!
资源描述

《FPGA学习系列:7. 串并转换.doc》由会员分享,可在线阅读,更多相关《FPGA学习系列:7. 串并转换.doc(3页珍藏版)》请在三一文库上搜索。

1、FPGA学习系列:7. 串并转换设计背景:Verilog语法中很多情况都用到了串并转化的思想,旨在与提升运算和芯片运行的速度,串行转并行已经成为设计中不可缺少的一种思维。设计原理:本次的设计主设计一个8位的串并转化,意思就是当输入8个数据的时候,我们把这8个串行数据转化为8位的并行数据然后输出出来。也就是需要一个8位的寄存器,来一个数据存到对应的位上,然后输出出来就完成了本次设计。设计架构图:设计代码:设计模块0modulestudy(clk,rst_n,data,data_out);/端口列表12 inputclk,rst_n;/时钟,复位输入3 inputdata;/输入数据45 outp

2、utreg7:0data_out;67 reg3:0count;8 reg7:0temp;910 always(posedgeclk)/时序逻辑11 if(!rst_n)12 begin13 data_out 14 temp 15 count 16 end17 elseif(count 18 begin19 temp 20 count 21 end22 else23 begin24 data_out 25 end2627endmodule测试模块0timescale1ns/1ps/时标12moduletb();34 regclk,rst_n;5 regdata;67 wire7:0data_o

3、ut;89 study study_dut(/设计例化10 .clk(clk),11 .rst_n(rst_n),12 .data(data),13 .data_out(data_out)14 );1516 initialbegin17 clk =1;18 rst_n =0;/复位19 data =0;20 #200rst_n =1;/不复位 21 data =1;/模拟数据输入22 #20data =0;23 #20data =1;24 #20data =0;2526 #20data =0;27 #20data =1;28 #20data =0;29 #20data =1;3031 #200$stop;32 end3334 always#10clk =clk;/模拟晶振时钟3536endmodule仿真图:在测试中模拟数据输入时1010 0101,然后输出是一个并行数据,那么通过看仿真时序,清楚的看到输出是8位的并行数据,本次设计正确.同样大家可以自己推理设计出并转串的逻辑电路来。

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 其他


经营许可证编号:宁ICP备18001539号-1