EDA课程设计-数字钟.doc

上传人:来看看 文档编号:3258082 上传时间:2019-08-06 格式:DOC 页数:10 大小:485.54KB
返回 下载 相关 举报
EDA课程设计-数字钟.doc_第1页
第1页 / 共10页
EDA课程设计-数字钟.doc_第2页
第2页 / 共10页
EDA课程设计-数字钟.doc_第3页
第3页 / 共10页
EDA课程设计-数字钟.doc_第4页
第4页 / 共10页
EDA课程设计-数字钟.doc_第5页
第5页 / 共10页
点击查看更多>>
资源描述

《EDA课程设计-数字钟.doc》由会员分享,可在线阅读,更多相关《EDA课程设计-数字钟.doc(10页珍藏版)》请在三一文库上搜索。

1、EDA 数字钟 2008070709 1 EDA 期期 末末 设设 计计 设计名称设计名称: 数 字 钟 学学 院院:物理与电子工程学院 年年 级级:2008 级 7 班 姓姓 名:名:* 学学 号:号:2008070709 2010 年 5 月 25 日 EDA 数字钟 2008070709 2 一一 实验目的实验目的 练习综合设计能力,设计一个含有时、分、秒的时钟,并练习综合设计能力,设计一个含有时、分、秒的时钟,并 且可以设置、清除、且可以设置、清除、12/24 小时工作模式的切换、仿电台小时工作模式的切换、仿电台 整点报时。整点报时。 二二 实验内容实验内容 基本要求基本要求 (1)具

2、有具有“秒秒” 、 “分分” 、 “时时”计时功能计时功能 (2)能进行能进行 24/12 小时制计时模式切换小时制计时模式切换 拓展要求拓展要求 (1)具有校时设置和清除功能,能够对具有校时设置和清除功能,能够对“分分”和和“时时”进行调进行调 整整 (2)具有整点报时功能具有整点报时功能 三三 实验原理实验原理 第一个模块为计时、校时、响铃模块,第二个为第一个模块为计时、校时、响铃模块,第二个为 12 与与 24 进制进制 相互转换。相互转换。 EDA 数字钟 2008070709 3 四四 本实验介绍(本实验介绍(各按钮所对应实验箱按钮键引脚图各按钮所对应实验箱按钮键引脚图) (1)功能

3、:功能:a 具有具有“秒秒” 、 “分分” 、 “时时”计时功能计时功能 b 能进行能进行 24/12 小时制计时模式切换小时制计时模式切换, 具有校时设置和清具有校时设置和清 除功能,能够对除功能,能够对“分分”和和“时时”进行调整进行调整 c.在在 59 分分 56 秒、秒、57 秒、秒、58 秒、秒、59 秒报时秒报时 (2)按钮功能:)按钮功能:CLK:计数计数 1HZ 脉冲,脉冲,CLK1024 和和 CLK512 报报 时脉冲;时脉冲; EN=0 为校时模式,为校时模式,en=1 为计数模式;为计数模式; swich=1 则则 12 进制到进制到 24 进制进制,swich=0 则

4、则 24 到到 12 进制;进制; 第三和第八数码管为标志第三和第八数码管为标志:A:上午标志上午标志d:下午标志下午标志 , E:24 进制计数模式标志进制计数模式标志 SHI,FEN:对时、分的校正对时、分的校正;都按都按 FEN 下时,对分低位校正,按下下时,对分低位校正,按下 SHI 对时校正,按对时校正,按 SHI 和和 FEN 下分,对高位分校正(均自动校正)下分,对高位分校正(均自动校正) 。 (2)系统对应按钮系统对应按钮:键:键 1:计数:计数/校时模式控制;键校时模式控制;键 2:分低位校时;:分低位校时; 键键 3:复位:复位; 键键 4:时位校时;键:时位校时;键 5:

5、进制转换:进制转换 五五 实验结果实验结果 视屏:视屏:学习实验视频学习实验视频 - 专辑专辑 - 优酷视频优酷视频 六六 设计程序:设计程序: (1) -*计数计数/校时校时/响铃响铃* LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY SHIFENMIAO IS PORT(CLK,RST, CLK0,CLK1,EN,SHI1,FEN1 : IN STD_LOGIC; CQ : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);- 计数输出 EDA 数字钟 2

6、008070709 4 SIGN:OUT STD_LOGIC; DXWSIGN:OUT STD_LOGIC ); END ENTITY SHIFENMIAO; ARCHITECTURE BHAVE1 OF SHIFENMIAO IS SIGNAL X : STD_LOGIC; SIGNAL AB : STD_LOGIC_VECTOR(1 DOWNTO 0); SIGNAL CQI : STD_LOGIC_VECTOR(31 DOWNTO 0); BEGIN AB 0); SXSIGN:=0;- 计数器清零复位 ELSE IF CLKEVENT AND CLK = 1 THEN- 上升沿判断 I

7、F EN = 1 THEN IF CQI(3 DOWNTO 0) IF CQI(15 DOWNTO 12) IF CQI(27 DOWNTO 24) IF CQI(19 DOWNTO 16)NULL; END CASE; END IF; END IF; END IF; IF CQI(31 DOWNTO 28)=“0001“AND CQI(27 DOWNTO 24)=“0010“ THEN-清零 CQI(19 DOWNTO 16) CLK,EN=EN,RST=RST,SIGN=SIGN,CLK0=CLK512,CLK1=CLK1048, CQ=D2,DXWSIGN=D1,SHI1=SHI,FEN

8、1=FEN); U2: EH12SWICH24 PORT MAP(CQI24=COUT,CQI12=D2,SIGN12=D1,SWSIGN12=SWICH); END STRUC; 七七 程序编译、仿真程序编译、仿真 (1) 编译结果编译结果 EDA 数字钟 2008070709 8 (2)仿真波形)仿真波形 EDA 数字钟 2008070709 9 八八 引脚配置引脚配置 EDA 数字钟 2008070709 10 九实验总结九实验总结 1.实验中遇到许多难题,比如信号不能多次赋值(解决:做实验中遇到许多难题,比如信号不能多次赋值(解决:做 多个元件)多个元件) ,分频比较难实现(解决:外部输入脉冲,替,分频比较难实现(解决:外部输入脉冲,替 代分频脉冲功能)代分频脉冲功能) 2.学习心得:做实验室要仔细写程序,特别是不要换小错误学习心得:做实验室要仔细写程序,特别是不要换小错误 比如:前后结构体名不一致,少写比如:前后结构体名不一致,少写“;”子类的小错误。子类的小错误。

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 研究报告 > 信息产业


经营许可证编号:宁ICP备18001539号-1