多彩循环彩灯控制器设计.doc

上传人:爱问知识人 文档编号:3315128 上传时间:2019-08-11 格式:DOC 页数:24 大小:578.96KB
返回 下载 相关 举报
多彩循环彩灯控制器设计.doc_第1页
第1页 / 共24页
多彩循环彩灯控制器设计.doc_第2页
第2页 / 共24页
多彩循环彩灯控制器设计.doc_第3页
第3页 / 共24页
多彩循环彩灯控制器设计.doc_第4页
第4页 / 共24页
多彩循环彩灯控制器设计.doc_第5页
第5页 / 共24页
点击查看更多>>
资源描述

《多彩循环彩灯控制器设计.doc》由会员分享,可在线阅读,更多相关《多彩循环彩灯控制器设计.doc(24页珍藏版)》请在三一文库上搜索。

1、武汉理工大学循环彩灯控制器课程设计课程设计任务书学生姓名: 专业班级: 电气1106 指导教师: 工作单位: 自动化学院 题 目: 循环彩灯控制器 初始条件:1. Quartus4.1以上版本软件;2. 课程设计辅导资料:“数字电路EDA入门”、“VHDL程序实例集”、“EDA技术与VHDL”、“EDA与数字系统设计”等;3. 先修课程:电路、电子设计EDA、电子技术基础等。4. 主要涉及的知识点: 门电路、组合逻辑电路、时序逻辑电路等。要求完成的主要任务: (包括课程设计工作量及其技术要求,以及说明书撰写等具体要求)1. 课程设计时间:1周;2. 课程设计内容:根据指导老师给定的题目,按规定

2、选择其中1套完成; 3. 本课程设计统一技术要求:研读辅导资料对应章节,对选定的设计题目进行理论分析,针对具体设计部分的原理分析、建模、必要的推导和可行性分析,画出程序设计框图,编写程序代码(含注释),上机调试运行程序,记录实验结果(仿真结果),并对实验结果进行分析和总结。具体设计要求包括: 复习EDA的相关技术与方法; 掌握VHDL或者Verilog语言,并要求能编写程序。 Quartus软件的使用:掌握程序编辑、编译、调试、仿真方法。 设计相关简单的电路,完成既定的功能。4. 课程设计说明书按学校“课程设计工作规范”中的“统一书写格式”撰写,具体包括: 目录; 彩灯电路相关的理论分析、归纳

3、和总结; 循环彩灯控制器的结构组成及原理分析。 程序设计框图、程序代码(含注释); 给出程序中主要函数或者语句的功能说明和使用说明; 给出程序仿真运行结果和图表、以及实验结果分析和总结; 课程设计的心得体会(至少500字); 参考文献; 其它必要内容等。时间安排:具体时间设计内容7月7日指导老师就课程设计内容、设计要求、进度安排、评分标准等做具体介绍。学生确定选题,明确设计要求7月8日开始查阅资料,完成相关电路原理分析、代码或原理图设计。7月9日采用VHDL或Verilog语言编程,上机调试,得出实验结果7月10日撰写课程设计说明书7月11日上交课程设计说明书,并进行答辩指导教师签名: 年 月

4、 日系主任(或责任教师)签名: 年 月 日目 录摘要I1.1 设计意义11.2 设计要求12 方案设计22.1设计方案一22.2设计方案二42.3 方案比较53 部分电路设计63.1 555脉冲发生器63.2 计数器电路73.3 灯左移逐一点亮控制电路93.4 LED电路124 调试与检测134.1 调试中故障及解决办法134.2 调试与运行结果135 仿真操作步骤及使用说明15附录18摘要 这次设计彩灯控制循环器主要是实现自然数列,奇数列,偶数列,音乐数列的循环显示,于是总电路可以分为循环电路,自然数列显示电路等序列显示电路,此外就是脉冲产生电路和分频电路,分频电路的作用是使自然序列和音乐序

5、列的显示时间与奇偶电路的显示时间相等。循环彩灯的电路很多,循环方式更是五花八门,而且有专门的可编程彩灯集成电路。绝大多数的彩灯控制电路都是用数字电路实现的,例如,用中规模集成电路实现的彩灯控制电路主要用计数器,译码器,分配器和移位寄存器等集成。本次设计的循环彩灯控制器就是用计数器和译码器来实现,其特点用双色发光二极管,能发红色和绿色两色光。关键词:循环彩灯 计数器 译码器 电路I1.1 设计意义(1) 通过课程设计,使同学加深对模电、数电等理论知识的学习,并且能够熟练掌握电子系统设计的方法。(2) 锻炼同学们将理论知识用于解决实际问题的能力,加强发现问题、独立解决问题的能力,并且提高同学们之间

6、的合作学习能力。(3) 培养同学们的创新能力。(4) 让同学们学会并掌握撰写报告的能力。1.2 设计要求现有8只彩灯,试设计一控制器,要求彩灯能实现如下追逐图案:(1) 使8只彩灯从右到左逐一循环点亮。(2) 使8只彩灯按照 1110 1110左移循环点亮。(3) 使8只彩灯交替闪烁。(4) 接着重复以上的动作,这样一直循环下去。时间间隔为0.5秒。(5) 严格按照课程设计说明书要求撰写课程设计说明书。2 方案设计该设计要求8只彩灯按一定的样式进行循环,时间间隔为0.5秒。首先,我用555芯片设计一个周期为0.5秒的脉冲发生电路。在这三种样式中,第一个是要求8只彩灯从右到左逐一点亮,我使用计数

7、器74LS90、8选1数据选择器74LS151和移位寄存器74LS164设计一个电路来完成。用一片74LS90设计一个8进制的计数电路,来控制74LS151的数据选择输出,而输出的电平信号则输入74LS164中,74LS164在555脉冲发生电路发出的脉冲信号控制从输出端将电平信号输入8只发光LED灯,来完成8只彩灯从右到左逐一点亮的功能。接下来,就是使8只彩灯按照1110 1110左移循环点亮,我使用74LS151和74LS161设计一个电路来完成。最后就是使8只彩灯交替闪烁,我则是使用2-线译码器74LS139和而输入与门74LS08设计电路完成。最后就是两片74LS90设计一个24进制的

8、计数电路和相应的逻辑门来控制三种样式闪烁的先后顺序及不断循环。最终完成该设计的设计要求,在8进制、24进制计数电路和555脉冲电路的控制下使8只彩灯以三种样式不断循环闪烁。2.1设计方案一(1) 系统框图该设计要求8只彩灯完成三种功能循环,所以分为几个独立模块进行设计,每个模块完成相应的功能,在将各个模块连接起来,就构成了一个完整的电子系统,使8只彩灯完成设计要求的功能。根据设计要求而设计的系统框图如图1所示:555脉冲发生器数据选择器555脉冲发生器计数器移位寄存器LED显示图1多彩循环彩灯控制器设计框图由555芯片构成的脉冲发生电路发出的周期为0.5秒的脉冲作用于74LS90构成的8进制计

9、数电路和24进制计数电路,以及以为寄存器74LS164上。而计数器控制数据选择器74LS151和74LS139。最后电平信号传输到LED灯上,进而控制LED灯的闪烁,这样就完成了多彩循环彩灯的控制。(2) 电路工作原理按照设计要求设计的电路图如图2所示。整个电路分为六个模块:555脉冲发生器电路,计数器电路,灯左移逐一点亮控制电路,灯1110 1110点亮控制电路,灯交替闪烁控制电路,LED电路。首先,用555设计而成的脉冲发生电路,其周期为0.5秒。该电路产生脉冲,使74LS90、74LS164等芯片能够正常工作。计数器电路中的8进制计数电路控制8选1数据选择器74LS151和2-4线译码器

10、74LS139,使其按照0.5秒的周期输出电平。数据输入端全接高电平的74LS151在8进制技术电路控制下每隔0.5秒将一个电平信号输入移位寄存器中,再由移位寄存器的8个输出端分别输出到对应的LED灯,从而完成8只彩灯循环左移逐一点亮的功能。数据输入端接有高、低电平的74LS151同样在8进制计数电路控制下每隔0.5秒将一个电平信号输入移位寄存器中,再由移位寄存器的8个输出端输出到锁存器中,则8个周期后,1110 1110已经输入到了锁存器中,同时此时锁存器使能端接高电平进行正常工作,将从移位寄存器中每0.5秒左移一次的电平信号1110 1110输入到相应的LED灯,完成8只彩灯按照 1110

11、 1110左移循环点亮的功能。2-4线译码器74LS139在在8进制技术电路控制下4个输出端将0011译码后的电平通过2输入与门输出两个电平,再分别经过一个非门在依次输入到LED灯,完成8只彩灯交替闪烁,并闪烁8次,每0.5秒闪烁一次。由两片74LS90设计的24进制计数电路和相应的逻辑门电路配合,以8个555脉冲周期为一个大周期,分别控制灯左移逐一点亮控制电路,灯1110 1110点亮控制电路,灯交替闪烁控制电路这三个电路的使能端,使其按一定顺序循环工作。再将这三个电路的最终电平输出端通过三输入或非门相接,三输入或非门的输出端接LED灯。最终完成设计要求的功能。图2 多彩循环彩灯控制器设计电

12、路图2.2设计方案二按照设计要求,本小组设计的电路图如图3所示:该方案是由单片机AT89S52做为控制处理器,通过编写程序来控制其一组8个IO口的电平的变化,在通过IO口输出电平到对应的8个LED灯,从而完成相应的功能。首先,编程控制8个IO口的电平从左到有逐一点亮,每0.5秒点亮一盏,从而完成8只彩灯循环左移逐一点亮的功能。接下来,编程控制8个IO口以1110 1110每0.5秒左移一次,从而控制8只彩灯按照 1110 1110左移循环点亮的功能。最后,编程控制8个IO口高、低电平交替变化,从而控制LED等交替闪烁,完成8只彩灯交替闪烁的功能。编程控制这三种模式以一定的顺序不断循环,就完成了

13、该设计要求的全部功能。图3多彩循环彩灯控制器设计电路图2.3 方案比较方案一是使用555芯片、数据选择器、移位寄存器、计数器以及一些逻辑门芯片等集成芯片设计的电路,设计起来比较困难,因为其设计的模电、数电知识较多,需要对这方面知识有一定的掌握,和对一些集成芯片的了解。不过通过方案一的设计,无论设计过程和设计结果都能够最好的达到该课程设计的目的,更能锻炼我们的实践、创新等各方面的能力。方案二则是通过单片机AT89S52这个可编程器件来控制电平的变化,从而控制8只彩灯的闪烁。比较简单,但是得到的锻炼不多。3 部分电路设计3.1 555脉冲发生器由于要求彩灯每0.5秒闪烁一次,所以该555脉冲发生器

14、的周期设计为0.5秒,电路图如图4所示:是由555构成的多谐振荡电路,振荡周期为0.5秒。因为周期为0.5秒,取C1=0.01uF,C2=10uF,f=2Hz。f=1.43/(R2+2*R1)*C2 (1-1)算得R1=25.075k,R2=20k。图4 555脉冲发生器555定时器引脚图及功能表如图5所示:图5 555引脚图及功能表555定时器中 6脚称阈值端(TH),是上比较器的输入;2脚称触发端(TR),是下比较器的输入;3脚是输出端(Vo),它有O和1两种状态,由输入端所加的电平决定;7脚是放电端(DIS),它是内部放电管的输出,有悬空和接地两种状态,也是由输入端的状态决定;4脚是复位

15、端(MR),加上低电平时可使输出为低电平;5脚是控制电压端(Vc),可用它改变上下触发电平值;8脚是电源端,1脚是地端。3.2 计数器电路该设计中设计的8进制计数电路和24进制计数电路如图6所示:图6 计数器电路74LS90引脚图及功能表如图7所示:图7 74LS90引脚图及功能表74LS90逻辑电路图如图3.6-1所示,它由四个主从JK触发器和一些附加门电路组成,整个电路可分两部分,其中FA触发器构成一位二进制计数器;FD、FC、FB构成异步五进制计数器,在74LS90计数器电路中,设有专用置“0”端R1、R2和置位(置“9”)端S1、S2。74LS90具有如下的五种基本工作方式:(1)五分

16、频:即由FD、FC、和FB组成的异步五进制计数器工作方式。(2)十分频(8421码):将QA与CK2联接,可构成8421码十分频电路。(3)六分频:在十分频(8421码)的基础上,将QB端接R1,QC端接R2。其计数顺序为000101,当第六个脉冲作用后,出现状态QCQBQA=110,利用QBQC=11反馈到R1和R2的方式使电路置“0”。 (4)九分频:QAR1、QDR2,构成原理同六分频。(5) 十分频(5421码):将五进制计数器的输出端QD接二进制计数器的脉冲输入端CK1,即可构成5421码十分频工作方式。 3.3 灯左移逐一点亮控制电路按设计要求使用74LS151和74LS164设计

17、电路,完成8只彩灯左移循环逐一点亮的功能,电路图如图8所示:图8灯左移逐一点亮控制电路(1)74LS151引脚图如图9所示,功能表如表1所示:图9 74LS151引脚图输入输出 WGi838电子-技术资料-电子元件-电路图-技术应用网站-基本知识-原理-维修-作用-参数-电子元器件符号数据选择选通YWCBAGHLHLLLLD0D0LLHLD1D1LHLLD2D2LHHLD3D3HLLLD4D4HLHLD5D5HHLLD6D6HHHLD7D7表1 74LS151功能表74LS151选择控制端(地址端)为CA,按二进制译码,从8个输入数据D0D7中,选择一个需要的数据送到输出端Y,G为使能端,低电

18、平有效。使能端G1时,不论CA状态如何,均无输出(Y0,W1),多路开关被禁止。使能端G0时,多路开关正常工作,根据地址码C、B、A的状态选择D0D7中某一个通道的数据输送到输出端Y。(2)74LS164引脚图及功能表如图10所示:当清除端(CLEAR)为低电平时,输出端(QAQH)均为低电平。 串行数据输入端(A,B)可控制数据。当 A、B任意一个为 低电平,则禁止新数据输入,在时钟端(CLOCK)脉冲上升沿作用下Q0 为低电平。当A、B 有一个为高电平,则另一个就允许输入数据,并在CLOCK 上升沿作用下决定Q0 的状态。 引脚功能:CLOCK :时钟输入端;CLEAR: 同步清除输入端(

19、低电平有效); A,B :串行数据输入端;QAQH: 输出端。图10 74LS164引脚图及功能表3.4 LED电路8只LED显示电路如图11所示:图11 LED电路4 调试与检测4.1 调试中故障及解决办法在调试过程中,PROTEUS提示出错误,无法进行仿真,查看后发现有的元件的标号重复了,将重复的标号更改后就可以进行仿真了。但是在仿真的时候发现彩灯无法按照预期的样式进行闪烁,检查后发现74LS151是下降沿触发,而74LS164是上升沿触发,导致电路无法正常工作,后来在74LS164的时钟信号输入端接上一个非门后,就能正常工作了,同时8只彩灯都是按照设计要求的功能工作。4.2 调试与运行结

20、果将PROTUES打开进行仿真,仿真结果如下:(1) 使8只彩灯从右到左逐一循环点亮。图12 8只彩灯从右到左逐一循环点亮(2) 使8只彩灯按照 1110 1110左移循环点亮。图13 8只彩灯按照 1110 1110左移循环点亮(3) 使8只彩灯交替闪烁。图14 8只彩灯交替闪烁5 仿真操作步骤及使用说明(1) D1D8是8只彩灯。(2) 点击仿真开始按钮,仿真开始。首先,8只彩灯按照0000 0001 0000 0011 1111 1111工作,即8只彩灯从右到左逐一循环点亮,每0.5秒移动一次。接下来,8只彩灯按照1110 1110 1101 1101 01110111工作,即8只彩灯按

21、照 1110 1110左移循环点亮。然后,8只彩灯以1010 1010和0101 0101交替闪烁,闪烁8次,即8只彩灯交替闪烁。最后,8只彩灯不断的以上面的三种模式不断循环。心得体会通过完成这次电工电子综合课程设计,让我获益良多。这次课程设计的过程中,在确定小组方案的时候,我们定了好几个方案,不够最后由于元器件的不足,我们确定了以AT89S52单片机方案来完成这次课程的实物制作。我完成了小组方案的仿真绘图、程序编写、仿真调试以及最后的实物制作和调试等工作。这次课程设计我感触颇深的就是理论和实践的不同。理论知识的学习,我们只要努力去学,特别是在做理论设计的时候,考虑不到实际中的一些情况,比如,

22、理论设计的时候无论什么芯片都往上用,等做实物的时候,发现有的芯片太偏了,根本就买不到,这时候只能改方案了,可是这既浪费时间又浪费精力。我们不在要在实践的时候考虑实际情况,就是我们在学习理论知识的时候也不能脱离实际,理论始终是为了实践。经过这次课程设计,使我更加熟练的掌握PROTUES这个仿真软件的使用。不仅让我更加深入的学习之前学习的理论知识,比如模电、数电等,更重要的是学会如何将学过的理论知识用于实践。让理论知识得到巩固,同时大大提高了我在实际中发现问题,解决问题的能力。对于课设的题目,我尝试了几种方法,用不同的集成芯片完成相同的功能,时我的创新能力得到一定的提高。同时使我的自学能力得到了很

23、大的提高。这次课程设计,不仅要每个人都有自己的方案,还有每个小组再出个方案。在提高我们独立思考、独立解决问题的能力的同时,也培养了我们团队合作的能力。在当今这个竞争激励的时代,个人能力非常重要,同时团队和作能力也是不可或缺的。虽然在刚开始的时候,设计的电路图总是不能完成设计要求,经过反反复复的思考、尝试,最终完成了任务。在这过程中,得到了很好的锻炼。感谢学校给我这次实践的机会,是我能够学到很过课堂上无法获得是知识和能力,同时获得丰富的实践经验。参考文献1 康华光.电子技术基础-数字部分(第五版),高等教育出版,2006.12 祁存荣,陈伟.电子技术基础实验(数字部分) 武汉理工大学教材中心3

24、陈永甫主编.数字电路基础及快速识图.人民邮电出版社,2006.54 刘修文主编.实用电子电路设计制作300例.中国电力出版社,2005附录/*程序功能:实现8个发光二级管多花样循环闪烁*/#include#include#define uint unsigned int#define uchar unsigned char#define IO P2 /定义IO口/*延时函数*/ void delayms(uint z) uint i,j;for(i=z;i0;i-)for(j=110;j0;j-);/*流水灯循环*/void lsd()uchar i;IO=0xfe;for(i=0;i8;i+

25、) /8只彩灯从右到左逐一循环点亮IO=IO1;delayms(500);IO=0x11;for(i=0;i8;i+) /使8只彩灯按照 1110 1110左移循环点亮IO=_crol_(IO,1);delayms(500);for(i=0;i8;i+) /8只彩灯交替闪烁IO=0xaa;delayms(500);IO=0x55;delayms(500);void main()while(1)lsd();18本科生课程设计成绩评定表姓 名性 别专业、班级课程设计题目:课程设计答辩或质疑记录:成绩评定依据:设计方案与内容(30分)制作与调试(20分)说明书内容与规范程度(30分)答 辩(10分)学习态度与考勤(10分)总 分(100分)最终评定成绩(以优、良、中、及格、不及格评定)指导教师签字: 20 年 月 日

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 建筑/环境 > 装饰装潢


经营许可证编号:宁ICP备18001539号-1