以FPGA为核心的数字通信信号2FSK的调制解调技术及其实现方案详解.doc

上传人:白大夫 文档编号:3375113 上传时间:2019-08-19 格式:DOC 页数:3 大小:15.50KB
返回 下载 相关 举报
以FPGA为核心的数字通信信号2FSK的调制解调技术及其实现方案详解.doc_第1页
第1页 / 共3页
亲,该文档总共3页,到这儿已超出免费预览范围,如果喜欢就下载吧!
资源描述

《以FPGA为核心的数字通信信号2FSK的调制解调技术及其实现方案详解.doc》由会员分享,可在线阅读,更多相关《以FPGA为核心的数字通信信号2FSK的调制解调技术及其实现方案详解.doc(3页珍藏版)》请在三一文库上搜索。

1、以FPGA为核心的数字通信信号2FSK的调制解调技术及其实现方案详解随着通信理论和计算机技术的发展,现代通信系统中常用的是数字调制技术,数字信号的调制、解调和识别是高科技术的发展趋势。调制解调技术是现代通信中一项关键的技术,解调效果的好坏更是直接关系着通信系统的性能。而数字解调技术的关键在于瞬时幅度,瞬时频率和瞬时相位参数的准确估计。调制的目的是使信号波形适合于在信道中传输,调制可以分为基带调制和载波调制两类。基带调制直接采用低通信号传递信息,这种技术通常用于铜线、光纤等一些无载波的传输方式。载波调制也称为频带调制,是将要发送的信息加载到载波上进行传输,即采用带通信号传输信息,通过调制实现信号

2、频谱的搬移。载波调制也就是通常所说的调制,在现代通信中也主要涉及载波调制技术。通信信号的调制解调技术已经发展多年,各项技术已经相当成熟,文中主要研究了数字通信信号2FSK的调制解调技术及其实现。1 2FSK信号的调制及产生11 2FSK信号的调制及产生设信息源发出的是由二进制符号0,1组成的序列,且假定1符号出现的概率为P,0符号出现概率为1-P,它们彼此独立。那么,一个二进制的频移键控信号可以表示成载波的频率随二进制基带信号在f1和f2两个频点间变化。故其表达式为由于2FSK信号可以看成是两个不同载频的2ASK信号的叠加,因此2FSK信号的时域表达式又可以写成在频移键控中,初始相位n和n不携

3、带信息,通常可以令其为零。因此2FSK信号的表达式可以简化为通常二进制频移键控信号的产生方法有两种。一种可以采用模拟调频电路来实现;另一种可以采用键控法来实现。图1是2FSK信号的时间波形及键控法产生2FSK信号的原理图。12 2FSK信号的调制一般2FSK信号的调制方法比较简单,通常情况下是用一个随机的1、0脉冲信号分别与一个载波相乘即可得到调制后的2FSK信号,如图1(b)所示。2 2FSK信号的解调2FSK信号有两种解调方法:非相干解调及相干解调。相应的接收系统方框图如图2所示。本次设计采用的是非相干解调方式。由于本次实验接收的是500 kHz和700 kHz的2FSK信号,所以首先要经

4、过滤波以得到两路不同载频的信号。滤波器系数由Matlab软件仿真得出。带通滤波器设计成一个24阶的,对于500 kHz信号滤波器的过渡带频率分别为250485 kHz与515690 kHz,目的是将500 kHz的信号过滤出来,其幅度响应如图3(a)所示,对于700 kHz信号,滤波器的过渡带频率分别为520690 kHz与710880 kHz,目的是将700 kHz的信号过滤出来,其幅度响应如图3(b)所示。通过SignalTapII在线仿真,经过滤波后的两路信号波形如图4(a)所示,信号经过滤波后,下一步要对两路信号分别取绝对值,仿真波形如图4(b)如图,取绝对值后的信号再经过低通滤波后,

5、进行判决,仿真波形如图4(c)所示。整个解调过程分别由各自的模块组成,具体设计如图5所示。3 2FSK信号的功率谱分析对相位不连续的2FSK信号,可以看成由两个不同载频的2ASK信号的叠加。下面给出2FSK信号的功率谱表达式由式(6)画出的典型的2FSK信号的功率谱如图6所示。由图可以看出,2FSK信号的功率谱由连续谱和离散谱组成。其中,连续谱由两个中心位于f1和f2处的双边谱叠加而成,离散谱位于两个载频f1和f2处;连续谱的形状随着两个载频之差的大小而变化,若|f1-f2|fs,则出现双峰;若以功率谱第一个零点之间的频率间隔计算2FSK信号的带宽,则其带宽近似为B2FSK|f2-f1|+2fs (7)其中,fs=1Ts为基带信号的带宽。图中的fc为两个载频的中心频率。4 结束语文中详细介绍了2FSK数字通信信号的调制解调原理,并基于FPGA进行了调制与解调的过程设计,各部分主要用模块搭建,滤波器用Matlab设计系数并用VHDL语言编写实现。经在线仿真验证,有不错的解调效果。

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 其他


经营许可证编号:宁ICP备18001539号-1