基于资源重用的嵌入式处理器指令发射机制研究.pdf

上传人:小小飞 文档编号:3581552 上传时间:2019-09-13 格式:PDF 页数:61 大小:2.37MB
返回 下载 相关 举报
基于资源重用的嵌入式处理器指令发射机制研究.pdf_第1页
第1页 / 共61页
基于资源重用的嵌入式处理器指令发射机制研究.pdf_第2页
第2页 / 共61页
基于资源重用的嵌入式处理器指令发射机制研究.pdf_第3页
第3页 / 共61页
基于资源重用的嵌入式处理器指令发射机制研究.pdf_第4页
第4页 / 共61页
基于资源重用的嵌入式处理器指令发射机制研究.pdf_第5页
第5页 / 共61页
点击查看更多>>
资源描述

《基于资源重用的嵌入式处理器指令发射机制研究.pdf》由会员分享,可在线阅读,更多相关《基于资源重用的嵌入式处理器指令发射机制研究.pdf(61页珍藏版)》请在三一文库上搜索。

1、浙江大学硕士学位论文 基于资源重用的嵌入式处理器指令发射机制研究 摘要 指令发射机制的研究主要包括指令相关性检查和操作数旁路机制、寄存器重 命名方法以及指令发射队列,本文分别对这三个方面进行研究并提出了基于计数 方式的操作数旁路方法、基于存储资源迭代重用的寄存器重命名方法以及基于最 老最先发射的集中分布式结构指令发射队列 基于计数方式的操作数旁路方法通过对指令执行周期数进行递减计数来判 断指令能够获得源操作数的时间,并通过对流水线级数的信息来确定旁路的路 径,缓解了指令间数据真相关性带来的流水线停顿。 针对超标量深流水线中物理寄存器资源冲突造成的流水线阻塞问题,本文提 出了一种多指令共享同一物

2、理寄存器资源的非阻塞指令发射方法。该方法可在物 理寄存器资源冲突下继续分配物理寄存器,利用发射缓冲队列临时缓冲冲突的指 令,增加发射流水级实际可分配的物理寄存器数量,释放发射窗1 2 ,提高物理寄 存器使用的并行性。实验结果表明,相对于传统重命名方法,该方法可减少2 7 3 的物理寄存器资源实现传统方法相同的性能。 基于最老最先发射的集中分布式指令发射队列分别从队列的发射行为和结 构出发,通过移动队列表项内容的方式实现了最老最先发射的机制,提高了指令 的执行和退休效率,而集中分布式的指令发射队列结构在提高资源利用效率的同 时并没有给队列的控制逻辑带来复杂度。 关键词:资源重用;操作数旁路;寄存

3、器重命名;发射队列 I I 浙江大学硕士学位论文基于资源重用的嵌入式处理器指令发射机制研究 A b s t r a c t I n s t r u c t i o n i s s u ep o l i c yr e s e a r c hi n c l u d i n gt h r e em a i nt e c h n i c a l s :i n s t r u c t i o n d e p e n d e n c yc h e c ka n do p e r a n df o r w a r dm e c h a n i s m ,r e g i s t e rr e n a m i

4、n ga n dt h ei s s u e q u e u e W er e s e a r c ho nt h e s et h r e ea s p e c t sa n dp r o p o s e dt h ec o u n t e rm e c h a n i s mf o r o p e r a n df o r w a r d i n g ,t h er e g i s t e rr e n a m i n gm e t h o db a s e do ni t e r a t i v e l y r e u s et h e s t o r a g er e s o u r

5、c eo fp h y s i c a lr e g i s t e ra n dt h ec e n t r a l i z e d d i s t r i b u t e di s s u eq u e u eb a s e d o nt h eo l d e s tt h ef i r s tl a u n c h T h eo p e r a n df o r w a r dm e t h o dw h i c hb a s e do nc o u n t e rm e c h a n i s mu s e st h e i n s t r u c t i o ne x e c u t

6、 i o nc y c l e st od e t e r m i n et h es o u r c eo p e r a n df o r w a r dt i m ea n dt h e i n f o r m a t i o no ft h ep i p e l i n es t a g e st od e t e r m i n et h ef o r w a r dp a t h I no r d e rt os o l v et h ep i p e l i n es t a l lc a u s e db yt h ep h y s i c a lr e g i s t e r

7、s o u r c ec o n f l i c t i nd e e pp i p e l i n es u p e r s c a i a rp r o c e s s o gt h i sp a p e r p r e s e n tan o n - b l o c k i n gi n s t r u c t i o n i s s u em e t h o db ys h a r i n go n ep h y s i c a lr e g i s t e rt om u l t i i n s t r u c t i o n s T h i sm e t h o d c o n t

8、 i n u e st od i s t r i b u t ep h y s i c a lr e g i s t e re v e nw h e nt h ep h y s i c a lr e g i s t e rs o u r c e c o n f l i c th a p p e n sb yu s i n gt h e i n s t r u c t i o n i s s u eq u e u et ob u f f e rt h ec o n f l i c t i n g i n s t r u c t i o n sa n d i n c r e a s i n gt

9、h ea m o u n to fp h y s i c a lr e g i s t e r st h a tC a nb ed i s t r i b u t e d B y u s i n gt h i sm e t h o d ,t h ep r o c e s s o rr e l e a s e st h ei s s u ew i n d o wa n di m p r o v e st h eu s i n g p a r a l l e l i s mo fp h y s i c a lr e g i s t e r E x p e r i m e n t ss h o wt

10、 h a tc o m p a r e dw i n lt h et r a d i t i o n a l r e n a m i n gm e t h o d ,t oa c h i e v et h es a m ep e r f o r m a n c e ,i t e r a t i v e l yr e u s i n gm e t h o ds a v e s 2 7 - 3 o f t h ep h y s i c a lr e g i s t e rr e s o u r c e s T h ec e n t r a l i z e d - d i s t r i b u t

11、 e di s s u eq u e u ei m p l e m e n t st h eo l d e s tt h ef i r s tl a u n c h p o l i c yb yu s i n gt h ei t e mm o v i n gm e t h o d T h eo l d e s tt h ef i r s tl a u n c hp o l i c yi m p r o v e s t h e i n s t r u c t i o ne x e c u t i o na n d r e t i r i n ge f f i c i e n c y A n do

12、 nt h eo t h e rh a n d ,t h e c e n t r a l i z e d - d i s t r i b u t e da r c h i t e c t u r ei m p r o v e st h er e s o u r c eu t i l i z a t i o no ft h eq u e u eb u t d o e s n tb r i n gt o om u c hc o m p l e x i t yt ot h ec o n t r o ll o g i c K e y w o r d s :r e s o u r c er e u s

13、e ;o p e r a n df o r w a r d ;r e g i s t e rr e n a m i n g ;i s s u eq u e u e I I I 浙江大学硕士学位论文基于资源重用的嵌入式处理器指令发射机制研究 目录 目录I V 第1 章绪论1 1 1 研究背景与意义l 1 2 国内外研究现状2 1 3 研究内容5 1 3 1 课题内容5 1 4 本文内容及组织结构9 第2 章指令相关性检查和操作数旁路技术研究1 0 2 1 流水线及指令间相关性介绍1 0 2 1 1 流水线技术和超标量技术介绍1 0 2 1 2 流水线冒险。1 1 2 2 指令相关性检查机制1 3

14、 2 2 1C A M 结构寄存器重命名表1 4 2 2 2R A M 结构寄存器重命名表1 5 2 3 操作数旁路。1 7 2 3 1 基于计数方式的操作数旁路方法18 2 4 本章小结2 2 第3 章寄存器重命名方法研究2 4 3 1 寄存器重命名方法2 4 3 1 1 数据假相关2 4 3 1 2 寄存器重命名方法的实现2 6 3 1 3 寄存器访问时间问题。3 1 3 1 4 寄存器重命名方法研究现状3 1 3 2 基于存储资源迭代重用的寄存器重命名方法3 6 3 2 1 理论分析。3 6 3 2 2 存储资源迭代重用算法详细描述。3 7 3 2 3 迭代重用方法实验结果与分析4 0

15、3 3 本章小结4 3 第4 章指令发射队列研究4 5 4 1 指令发射队列概述4 5 4 2 指令发射队列行为机制4 5 4 3 指令发射队列结构4 8 4 3 1 集中式指令发射队列结构4 9 4 3 2 分布式指令发射队列结构5 0 4 4 基于最老最先发射的集中分布式结构指令发射队列5 0 4 4 1 最老最先发射行为机制5 0 4 4 2 集中分布式结构5 1 4 5 本章小结5 2 第5 章总结与展望5 3 5 1 论文工作总结5 3 5 2 今后工作的展望5 3 参考文献5 4 I V 浙江大学硕士学位论文基于资源重用的嵌入式处理器指令发射机制研究 图目录 图1 1 处理器发展趋

16、势2 图1 - 2 标量处理器指令发射机制研究现状3 图1 3 超标量处理器指令发射机制研究现状4 图1 _ 4 处理器基本架构6 图1 5 记分板机制结构示意图7 图1 - 6T o m a s u l o 算法的基本结构示意8 图2 1C A M 重命名表结构示意图1 4 图2 2R A M 结构寄存器重命名表一1 6 图2 3 数据旁路技术示意图1 8 图2 - 4 操作数旁路结构2 0 图2 5 寄存器重命名映射表结构2 1 图2 - 6 指令发射队列表项信息2 2 图3 1 物理寄存器堆的布局形式2 6 图3 2 合并形式物理寄存器状态转换示意图2 7 图3 3 寄存器重命名方法在R

17、 1 S C 处理器中的应用3 2 图3 - 4 寄存器重命名方法在C I S C 处理器中的应用3 2 图3 5 两级映射方法重命名映射表示意图3 4 图3 - 6 重命名映射表及物理寄存器结构3 7 图3 7 指令发射队列表项信息3 8 图3 8 传统方法与迭代重用方法性能比较4 3 图4 1 传统方法下指令发射行为机制4 6 图4 2 改进后的传统发射行为机制4 6 图4 3 指令发射顺序。4 7 图4 4 指令发射窗口行为4 8 图4 5 集中式指令发射队列结构。4 9 图4 6 分布式结构指令发射队列5 0 图4 - 7 指令进入队列方式改进5 1 图4 8 集中分布式指令发射队列结

18、构5 2 V 塑望奎兰堡士堂位论文 基于资源重用的嵌入式处理器指令发射机制研究 表目录 表2 - l 标量流水线指令流1 0 表2 - 2 超标量流水线指令流l1 表3 1 寄存器重命名方法中寄存器数量与I P C 性能分析2 9 表3 - 2 各型号处理器中物理寄存器数量3 0 表3 3 实验平台处理器主要硬件参数4 l 表3 - 4 传统方法物理寄存器数量与I P C 性能关系4 1 表3 5 迭代重用方法物理寄存器数量与I P C 性能关系4 2 “ 4 I 浙江大学硕士学位论文基于资源重用的嵌入式处理器指令发射机制研究 1 1 研究背景与意义 第1 章绪论 随着科学技术的发展,数字化、

19、智能化、网络化和信息化逐渐融入人们的工 作生活,徼处理器的应用越来越广泛,扮演的角色变得越发的举足轻重。自从英 特尔公司推出世界上第一款4 位微处理器4 0 0 4 1 】至今,处理器的性能在系统结构 的创新和半导体工艺的驱动下不断得到提升,其应用范围也在不断的扩大微处 理器从应用领域被划分为通用处理器和嵌入式处理器两大类【2 】,通用处理器通常 应用于桌面计算机和服务器,更高的性能是其所追求的目标【3 】,如基于C I S C 指 令集架构的I n t e l 和A M D 公司的处理器。嵌入式处理器则具有实时性、低功耗、 低成本的特点【4 】,其设计主要目标则是以最低的价格满足实际的性能需

20、求,如基 于R I S C 指令集架构的A R M 和M I P S 公司的处理器。近年来,随着便携设备的 流行,嵌入式处理器在低功耗和低成本上的优势不断显现,嵌入式处理器的发展 也得到了长足的进步,越来越多的新技术被引入到嵌入式处理器设计中 流水线技术刚和超标量技术【5 1 是现代处理器提高性能的主要手段,它们通过 提高指令级的并行度从而提高了处理器的指令吞吐量( 单位时间完成的指令条 数) 流水线是利用执行指令所需的操作之间的并行性,实现多条指令重叠执行 的一种技术【4 】超标量技术则通过单周期并行发射、执行和退休多条指令【5 1 ,开 发指令级的并行性,其中涉及到很多复杂的技术包括:高速

21、缓存技术f 6 ,指令预 取技术【7 1 ,静态和动态的转移预测技术【引,指令的多发射和动态调度技术等。这 些技术的引入很大程度上提升了处理器的性能,但同时也增加了处理器设计的复 杂度和处理器内部的资源和成本。嵌入式微处理器的设计是以低功耗和低成本 为主,而非追求用更高的价格来实现更高的性能,因此如何在提高性能的同时能 够节省处理器内部资源和成本的消耗成为了嵌入式处理器设计中的关键问题所 在。 超标量微处理器的设计可以被划分成存储器层次结构的设计以及处理器执 行内核的设计存储器层次结构的设计主要是利用局部性原理和存储器成本性能 分析技术【4 1 ,将存储器层次结构组织成不同的层次,这样使得处理

22、器对指令和数 据的访问达到了接近最快层次的存储器的速度,又具有相当于最便宜层次的价 浙江大学硕士学位论文 基于资源重用的嵌入式处理器指令发射机制研究 格。处理器执行内核的设计主要集中在处理器取指之后的指令动态调度以及处理 器精确异常的实现上,更好的动态调度算法可以使得处理器具有更高的指令级并 行度,因此获得更高的处理器单位性能 指令发射机制的设计是超标量处理器实现内部指令多发射和动态调度技术 中的关键,其涉及到指令译码之后的指令相关性检查和操作数旁路模块、寄存器 的重命名模块、指令发射队列等关键功能部件实现这些模块则需要消耗包括寄 存器重命名表、物理寄存器堆、指令发射队列缓存等寄存器资源,这些

23、功能单元 占据了处理器执行内核的大部分资源。因此如何通过使用更好方法来使得处理器 性能不受影响的条件下节省这些功能单元的资源消耗,对于处理器内核的低成本 设计来说具有重大的意义 1 2 国内外研究现状 随着流水线技术和超标量技术的引入,处理器从简单的顺序发射和顺序执行 指令转变为可以并行发射和并行执行指令,其性能也不断的得到提升。处理器的 发展趋势如下图阴中所示: T r a d i t i o n a lV O T In e u m a nS c a l a rI L P S u p e r s c a l a rI L P ( s e q u e n t i a li s s u e ,(

24、 s e q u e n t i a li s s u e ,( p a r a l l e li s s u e , s e q u e n t i a le x e c u t i o n ) p a r a l l e le x e c u t i o n ) p a r a l l e le x e c u t i o n ) fI P a r a l l e l i s 。fi n s t r u c t i 。ne x e c u t i 。n J 陆a I l l e l i s o f i n s t r u c t i o r e N o n p i p e li n e d

25、 p r o c e s s o r s P r o c e s s o r sw it hV L I Wa n ds u p e r s c a l a r m u l i t p l en o n p i p e l i n e dp r o c e s s o r se m b o d y i n g e x e c u t i o nu n i t s ,o r m u l t i p l ep i p e l i n e d p i p e l i n e dp r o c e s s o r s e x e c u t i o nu n i t s P r o c e s s o

26、rp e r f o r m a n c e 图1 1 处理器发展趋势 由上图中可以看到,指令并行发射和并行执行为处理器的性能提升做出了很 大的贡献。指令发射机制决定了处理器内核对于指令的动态调度的方式,直接决 浙江大学硕士学位论文 基于资源重用的嵌入式处理器指令发射机制研究 定了指令是否能够在适当的条件下进入执行阶段,有效的指令发射机制可以在很 大程度上提高指令并行发射和并行执行的能力因此为了开发处理器的指令级并 行,指令发射机制的设计显得尤为重要,无论是国内外处理器设计公司还是研究 机构都非常重视对于处理器指令发射机制的研究 I n s t r u c t i o ni s s u ep

27、o l i c i e so fs c a l a rp r o c e s s o r s N o T y p i c a li nt r a d i t i o n a l p r o c e s s o r sa n di ne a r y p i p e l i n e dl i c r o p r o c e s s o r s : 1 8 6 ( 1 9 7 8 ) 1 2 8 6 ( 1 9 8 2 ) 1 3 8 6 ( 1 9 8 5 ) C I ) C6 6 0 0 ( 1 9 6 4 ) I 蹦3 6 0 9 1 I I C 6 8 0 0 0 ( 1 9 7 9 ) I

28、 I C 6 8 0 2 0 ( 1 9 8 2 ) I I C 6 8 0 3 0 ( 1 粥7 ) R 2 0 0 0 ( 1 9 8 7 ) 船0 0 0 ( 1 9 明) ( X 7 C 6 0 l ( 1 9 8 8S P k R C ) + l 伽 f y p i c a li nf o l l o wo n p i p e l i n e d | i c r o p r o c e s s o r s : I 蚰6 ( 1 9 8 7 ) l l c 6 8 0 4 0 ( 1 9 9 0 ) X 4 0 0 0 ( 1 9 9 2 ) H i c r o S p a r c (

29、 1 9 9 2 ) 图1 2 标量处理器指令发射机制研究现状 如图1 2 p J 中所示,在早期的标量处理器中,由于流水线的设计相对简单, 大部分的处理器指令发射机制也相对简单,几乎没有处理器使用寄存器重命名技 术处理指令间的假相关性,仅有部分处理器使用了保留站和分支跳转预测技术。 同样从图中可以看到,指令发射机制的性能也随着保留站和分支跳转预测技术的 使用不断得到提升。与标量处理器相比较,在超标量处理器中,越来越多的处理 器使用了寄存器重命名、保留站以及分支跳转预测技术。 浙江大学硕士学位论文 基于资源重用的嵌入式处理器指令发射机制研究 | m t m c t i mi s s u ep

30、o l i c i e so fs u 卵r s 1 缸p T o c e s s o r s S t n ig l I t f o H a r d S t r a i g M f o m a r d s w e r s m l 缸i s s u e s w e r s c a l a ri s s u e w i t h p a t t i 8 ls h e l v i n g l i or e n i n g r e 舱i n g N os p e c u l a t i v ee x e c u t i o n S p e c u l a t i v ee x e c u t i o n

31、 入8 “e 1 V e 8J s s u e A l i g n e di s s u eA l i g n m e n t f r e ei s s u e S t r a i g h t f o r w a r d s I 联f s c a l a ri s s u ew i t h r e n 衄i n g R e n a m i n g S p e c u l a t i v ee I e c u t i o n 轴e l e di s 锹 T y p i c a li ne a r l yf i r s t T y p i c a li nf o l l o v - o n s u

32、 p e r s c a l a r ”o c e s s o r s 唧r a 肼t i m F 雌r8 1 盯。兰二l 肼e 渊涨r s 试畔船哪l f 峨M C 6 8 1 1 8 0 6 0 0 ( ( 1 1 9 9 9 9 3 3 ;比8 8 1 1 1 0 ( 1 9 9 3 )f 一。,嘲,船,咣,二一。,0 。嘲, P e n t i u l ( 1 9 9 3 ) _ - P e n t i m h o ( 1 9 9 5 ) P 钾e 6 0 3 ( 1 9 9 3 ) P o w e r P c 鲫( 1 螂) P o ) e r P C P 棚e r P C6 0 4

33、 ( 1 9 9 4 ) f 晔( 1 9 9 5 ) P c _ e r F C6 2 0 ( 1 9 9 6 ) P A 7 1 0 0 ( 1 9 9 2 ) P 7 2 0 0 ( 1 9 9 5 ) P A8 0 ( 0 ( 1 9 0 ) S u p e r s p a r c ( 1 9 9 2 ) 叼l t r a S p a r c ( 1 蝤) + P - 1S p a r c6 4 ( 9 9 5 ) 1 p h ai 1 0 6 4 j 舳2 i I I ( I 蝤) 抽2 9 呷溉1 蝤 A l p h a2 1 0 6 4 A ( 1 9 9 4 ) l 口I l

34、a2 H 6 4 ( i 9 9 5 ) , m 跖( 1 9 蚓 图1 3 超标量处理器指令发射机制研究现状 从图1 - 3 9 】中可以看出,在现代超标量处理器中越来越重视对于指令发射机 制的研究,其主要集中在三个领域:指令相关性检查和操作数旁路、寄存器重命 名方法、指令发射队列。 指令相关性检查和操作数旁路通过查找寄存器重命名表来判断当前指令是 否同之前的指令具有相关性,并保存该相关性信息,最后通过该相关性信息从处 理器的其他流水线级或是重命名寄存器中获取操作数。由此可见,寄存器重命名 映射表以及操作数旁路方式的设计是该单元的关键所在。目前,国内外对于重命 名映射表的设计包括两种方式:R

35、 A M ( R a n d o m A d d r e s s e d M e m o r y ) 和 C A M ( C o n t e n t A d d r e s s e d M e m o r y ) 结构【1 0 1 R A M 结构具有同I S A 寄存器相同的 表项个数,使用I S A 寄存器号进行索引访问表项信息,输出其对应的物理寄存 器号。C A M 结构则具有同物理寄存器相同的表项数,并通过I S A 寄存器号进行 4 浙江大学硕士学位论文 基于资源重用的嵌入式处理器指令发射机制研究 关联查找通常,C A M 结构具有比R A M 结构更少的表项资源,但是R A M 结

36、构 具有比C A M 结构更好的扩展性,更适合多线程环境对大规模R R F 的要求 国内外对于寄存器重命名方法的研究主要集中在怎样用更少的物理寄存器 资源来实现重命名主要的研究方法包括:两级映射推迟物理寄存器的分配的重 命名方法;合并相同执行结果的指令的存储空间的方法;资源动态利用的寄存器 重命名算法;两级分配多可用的重命名方法等。 指令发射队列是存储暂时不能向下一流水线级发射的指令的缓存,其设计的 关键在于每一次发射的指令是否是最老的一条已经就绪的指令。指令发射队列的 设计按照存储方式可以被划分成三种方式:集中式、集中分布式、以及分布式 的队列缓存集中式的队列缓存可以使发射队列达到最优的使用

37、效率,但其控制 逻辑却过于复杂。分布式的队列缓存为每个执行单元分配一个专属的保留站,当 指令经过译码之后即会被分配到特定的某个保留站中,这种方法控制逻辑虽然简 单,但很容易造成资源的冲突和浪费。集中分布式的指令发射队列则综合两者 的优势,既有较好的使用效率同时控制逻辑又相对简单。 1 3 研究内容 1 3 1 课题内容 流水线技术通过指令的并行执行,提高了处理器的指令吞吐量( 即单位时间 完成的指令条数) ,已经成为了高速处理器中采用的关键技术。超标量技术则通 过在一个周期内同时发射、执行和退休多条指令的方式提高指令级的并行性。在 现代处理器中的流水线基本架构如图l - 4 所示: 浙江大学硕

38、士学位论文基于资源重用的嵌入式处理器指令发射机制研究 指令存储器 图1 _ 4 处理器基本架构 由上图中可以看到,为了开发处理器的指令级并行,指令发射机制的设计显 得尤为重要,因为指令发射机制确定了处理器内核对于指令动态调度的方式,直 接决定了指令是否能够在适当的条件下进入执行阶段因此无论是国内外处理器 设计公司还是研究机构都非常重视对于处理器指令发射机制的研究。记分板机制 和t o m a s u l o 算法是早期的处理器中使用的动态调度算法,现代处理器中的指令 发射机制在这两种算法的基础上进行创新、改进,不断提高指令发射效率进而获 得更高的处理器性能。 1 3 I I 记分板机制 早期的

39、处理器采用记分板的方式实现指令的动态调度,在没有结构冒险的前 提下,使得每一条指令尽可能早执行,保持一个时钟周期执行一条指令的速率 这种方式通过维护指令状态表、功能单元状态表和寄存器结果状态表来控制指令 的发射、执行和写回以及负责所有冒险的检查。因此,实现记分板方法必须对流 水线重新进行划分,将指令译码周期分成发射和读操作数两个阶段完成。其结构 示意图如图I - 5 4 所示: 6 浙江大学硕士学位论文基于资源重用的嵌入式处理器指令发射机制研究 寄存器 数据总线 r , 浮点乘法单元 浮点乘法单元 。 个 _ J 浮点除法单元 、 I kI 二I浮点加法单元 7 l 一 个 、 l 定点单元

40、J 记分牌 控制状态控制状态 图1 5 记分板机制结构示意图 指令在发射阶段检查指令所用的功能单元是否空闲且是否有其他活动指令 与它抢用同一个目标寄存器,判断该指令是否能够发射至对应的执行单元并更新 记分板的内部数据结构。通过这种方式,记分板方法避免了W A W 冒险和结构冒 险的出现。 在读操作数阶段记分板监视操作数的可用情况,判断是否有以前发射了的活 动指令写该操作数。如果没有或是存放该操作数的寄存器此刻正被某个活动的功 能单元写入,那么源操作数是可用的。通过这种方式,记分板解决了R A W 冒险。 指令在执行完成产生结果之后,再次更新记分板内容,并检查是否存在W A R 冒险,如果存在则

41、停顿完成的指令,否则将结果写回目的寄存器。 由于每条指令都要从记分板单元通过,并建立相应的数据相关结构,经历发 射、读操作数、执行和写回结果四个阶段,因此记分板机制很好的实现了流水线 中指令的乱序发射和执行。 浙江大学硕士学位论文基于资源重用的嵌入式处理器指令发射机制研究 1 3 1 2T o m a s u l o 算法 为了获得更高的指令级并行度,I B M3 6 0 9 1 的浮点功能单元使用了一种更成 熟的机制支持指令的乱序执行相对于记分板机制的动态调度算法,T o m a s u l o 算法具有分布的阻塞检测机制并且通过引入寄存器重命名方法来消除W A W 和 W A R 冒险 保

42、留站是T o m a s u l o 算法中实现寄存器重命名的主要部件,为等待发射的指 令保存操作数每一条指令从指令队列中流出后,即被保存在保留站中等待执行, 其所需要的操作数所对应的寄存器被重命名为保留站的名字当操作数可用时, 保留站立刻获取操作数并将其缓存,并指定保留站为即将执行的指令提供输入 操作数的传送则是通过公共数据总线来实现,这样等待操作数的所有单元可以同 时取到该操作数。T o m a s u l o 算法的基本结构示意图如刚4 】1 6 所示: 在转移预测足够准确的前提下,T o m a s u l o 算法可以获得很高的性能 T o m a s u l o 算法的主要缺陷则在于它的复杂性,需要大量的硬件投入,而且要想 获得足够高的性能又必须增加公共数据总线的数量。 图1 - 6T o m a s u l o 算法的基本结构示意 1 3 1 3 课题主要研究内容 记分板机制和t o m a s u l o 算法是经典的指令动态调度算法,随着超标量技术和 浙江大学硕士学位论文基于资源莺用的嵌入式处理器指令发射机制研究 流水线技术的

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 高中教育


经营许可证编号:宁ICP备18001539号-1