毕业论文---DDS信号源设计与仿真.doc

上传人:椰子壳 文档编号:3937082 上传时间:2019-10-10 格式:DOC 页数:47 大小:4.34MB
返回 下载 相关 举报
毕业论文---DDS信号源设计与仿真.doc_第1页
第1页 / 共47页
毕业论文---DDS信号源设计与仿真.doc_第2页
第2页 / 共47页
毕业论文---DDS信号源设计与仿真.doc_第3页
第3页 / 共47页
毕业论文---DDS信号源设计与仿真.doc_第4页
第4页 / 共47页
毕业论文---DDS信号源设计与仿真.doc_第5页
第5页 / 共47页
点击查看更多>>
资源描述

《毕业论文---DDS信号源设计与仿真.doc》由会员分享,可在线阅读,更多相关《毕业论文---DDS信号源设计与仿真.doc(47页珍藏版)》请在三一文库上搜索。

1、毕 业 设 计 毕业设计题目:DDS信号源设计与仿真学生姓名: 学 号:系 别:专业班级: 指导教师姓名及职称:起止时间:摘 要 为设计直接数字式频率合成器(DDS)信号发生器,本文利用DDS信号源的原理在FPGA平台上的优势,借助FPGA开发板和数模转换器(D/A)等工具,实现了波形频率在1HZ-1MHZ连续可调,步进1HZ的三角波、方波、正弦波三种波形。单片机实现与FPGA的通信和控制幅度和频率的步进;FPGA模块实现波形数据的存储与输出;DA转换模块作用将波形数据转换为模拟量。液晶显示器用于显示波形、幅度、频率等。实验结果证明,本设计是可行的,符合本次设计要求。本文将着重介绍基于现场可编

2、程门阵列(FPGA)的DDS信号源的设计的设计过程以及方法原理。关键词:直接数字频率合成DDS 现场可编程门阵列FPGA 波形发生器AbstractIn order to design Direct Digital Synthesizer (DDS) signal generator, the advantages of the principle of DDS signal source in FPGA platform were used in this paper and with the aid of the development board of FPGA and Digital-

3、to-Analog (D/A) and other tools. It realized that it could be continuous adjustable when wave frequency in 1HZ-1MHZ and developsed three waveforms which were 1HZ triangle wave, 1HZsquare wave and 1HZ sine wave. MCU was communicationed with FPGA and controled the magnitude and frequency of stepping;

4、FPGA was moduled waveform data storage and output; DA conversion module would be the role of waveform data is converted to analog. Liquid crystal display would be displayed waveforms and frequency. Experiments showed that this design was feasible and complied with the design requirements. The design

5、 process and methods of DDS signal source which was based on Field Programmable Gate Array (FPGA ) would mainly introduced in this paper.Keywords:DDS FPGA Waveform Generator目 录1、选题背景11.1 背景11.2选题意义12、方案的研究与论证22.1 系统的性能要求22.2频率合成器方案论证32.3存储器方案论证53、硬件设计73.1 DDS信号源对电路的要求73.2单片机与FPGA的设计93.3矩阵式键盘接口设计133.

6、4 相位/幅度转换电路143.5 D/A转换单元153.6 FPGA实现的直接数字频率合成器15 4、软件设计174.1设计目的与思路174.2设计过程175、实验结果与分析236、总结27附录一28附录二31参考文献42致 谢431、选题背景 1.1 背景:直接数字频率合成(Direct Digital Synthesizer,简称:DDS)技术是一种新的全数字的频率合成原理,它从相位的角度出发直接合成所需波形。这种技术由美国学者 J.Tiercy,M.Rader和B.Gold于1971年首次提出,但限于当时的技术和工艺水平, DDS技术仅仅在理论上进行了一些探讨,而没有应用到实际中去。近3

7、0年来,随着超大规模集成(Very Large Scale Integration,简称:VLSI)、复杂可编程逻辑器件(Complex Programmable Logic Device,简称:CPLD)、现场可编程门阵列(Field Programmable Gate Array,简称:FPGA)等技术的出现以及对DDS理论的进一步探讨,使得 DDS 得到了飞速的发展。由于其具有频率转换快、分辨率高、频率合成范围宽、相位噪声低且相位可控制的优点,因此,DDS技术常用于产生频率快、转换速度快、分辨率高、相位可控的信号,广泛应用于电子测量、调频通信、电子对抗等领域。近年来,已有DDS技术的波形

8、发生器陆续被研制、生产和投入应用。1.2 意义:信号源是一种基本的电子设备,广泛应用于通信,雷达,测控,电子对抗以及现代化 仪器仪表等领域,是一种为电子测量工作提供符合严格技术要求的电信号设备,和示 波器、电压表、频率计等仪器一样是最普遍、最基本也是应用最广泛的的电子仪器之一,几乎所有电参量的测量都要用到信号发生器。综上所述,不论是在生产还是在科研与教学上,信号发生器都是电子工程师信号仿真试验的最佳工具。随着现代电子技术的飞速发展,现代电子测量工作对信号发生器的性能提出了更高的要求,不仅要求能产生正弦信号源、脉冲信号源,还能根据需要产生函数信号源和高频信号源,信号源常有三方面的用途:激励源,作

9、为某些电器设备的激励信号。信号仿真,当要研究一个电气设备在某种实际环境下所受的影响时,需要施加具有与实际环境相同特性的信号,加高频干扰信号,这是旧需要对干扰信号进行仿真。 校准源,用于对一般信号源进行校准或对比,有时称为标准源。而传统信号发生器采用专用芯片,成本高,控制方式不灵活,已经越来越不能满足现代电子测量的需要,正逐步退出历史舞台。可见,为适应现代电子技术的不断发展和市场要求,研究制作高性能的任意波形发生器十分有必要,而且意义重大。基于FPGA的DDS 信号发生器,由于可以获得很高的频率稳定度和精确度,同时可以根据需要方便地实现各种比较复杂的调频、调相和调幅功能,因此发展非常迅速,尤其是

10、最近随着现代电子技术的不断发展,其应用更是有了质的飞跃。目前我国已经开始研制信号发生器,并获得了可喜的成果,但总的来说,我国波形发生器还没有形成真正的产业,并且我国目前在波形发生器的种类和性能都与国外同类产品存在较大的差距,因此加紧对这类产品的研制显得迫在眉睫。2、方案的研究与论证2.1 系统的性能要求信号发生器由单片机、接口电路、FPGA、低通滤波器、D/A转换等部分组成,其中主要为用FPGA实现直接数字频率合成器(DDS)的功能及单片机的控制功能。其组成框图如图1所示。单片机通过接口电路控制FPGA构成的DDS系统,通过键盘送人频率控制字、相位控制字和幅值控制字,使其输出一定频率、相位和幅

11、值的波形信号,经过低通滤波器后形成平滑的正弦波、三角波以及方波。图1 信号发生器的组成框图系统的性能要求:用单片机或FPGA/CPLD实现1Hz-1MHz信号10-3精度的方波、SIN、三角波等信号的产生,信号频率要求连续可调,步进1Hz。 2.2 频率合成器方案频率合成是指对一个标准信号频率经过一系列算术运算,产生具有相同精度和稳定度的大量离散频率的技术13。频率合成有多种实现方法,其中直接数字频率合成技术与传统频率合成技术相比具有难以比拟的优点,如频率切换速度快、分辨率高、频率和相位易于控制等。因此得到越来越广泛的应用,成为当今现代电子系统及设备中频率源设计的首选。实现DDS的三种技术方案

12、 :(1)采用高性能DDS单片电路的解决方案 : 随着微电子技术的飞速发展,目前市场上性能优良的DDS产品不断推出,主要有Qualcomm、AD、Sciteg和Stanforc等公司单片电路(monolithic)。Qualcomm公司推出了DDS系列Q2220、Q2230、Q2334、Q2240、Q2368,其中Q2368的时钟频率为130MHZ,分辨率为0.03HZ,杂散控制为-76dBc,变频时间为0.1us;美国AD公司也相继推出了他们的DDS系列:AD9850、AD9851、可以实现线性调频的AD9852、两路正交输出的AD9854以及以DDS为核心的QPSK调制器AD9853、数字

13、上变频器AD9856和AD9857。AD公司的DDS系列产品以其较高的性能价格比,目前取得了极为广泛的应用。下面仅对比较常用的AD9850芯片作一个简单介绍, AD9850是AD公司采用先进的DDS技术,1996年推出的高集成度DDS频率合成器,它内部包括可编程DDS系统、高性能DAC及高速比较器,能实现全数字编程控制的频率合成器和时钟发生器。接上精密时钟源,AD9850可产生一个频谱纯净、频率和相位都可编程控制的模拟正弦波输出。此正弦波可直接用作频率信号源或转换成方波用作时钟输出。AD9850接口控制简单,可以用8位并行口或串行口直接输入频率、相位等控制数据。32位频率控制字,在125MHZ

14、时钟下,输出频率分辨率达0.029HZ。先进的CMOS工艺使AD9850不仅性能指标一流,而且功耗少,在3.3V供电时,功耗仅为155mW。扩展工业级温度范围为-40+85摄氏度,其封装是28引脚的SSOP表面封装。 AD9850采用32位相位累加器,截断成14位,输入正弦查询表,查询表输出截断成10位,输入到DAC。DAC输出两个互补的模拟电流,接到滤波器上。调节DAC满量程输出电流,需外接一个电阻Rset,其调节关系是Iset=32(1.248V/Rset),满量程电流为1020mA。(2)采用低频正弦波DDS单片电路的解决方案: Micro Linear公司的电源管理事业部推出低频正弦波

15、DDS单片电路ML2035以其价格低廉、使用简单得到广泛应用。ML2035特性:(1)输出频率为025KHZ,在时钟输入为12.352MHZ时频率分辨率可达到1.5HZ(-0.75+0.75HZ),输出正弦波信号的峰峰值为Vcc;(2)高度集成化,无需或仅需极少的外接元件支持,自带312MHZ晶体振荡电路;(3)兼容的3线SPI串行输入口,带双缓冲,能方便地配合单片机使用;(4)增益误差和总谐波失真很低。 ML2035为DIP-8封装,各引脚功能如下: (1)Vss:-5V电源; (2)SCK:串行时钟输入,在上升沿将串行数据锁入16位移位寄存器; (3)SID:串行数据输入,该串行数据为频率

16、控制字,决定6脚输出的频率; (4)LATI:串行数据锁存,在下降沿将频率控制字锁入16位数据锁存器; (5)VCC:+5V电源; (6)VOUT:模拟信号输出; (7)GND:公共地,输入、输出均以此点作为参考点; (8)CLK IN:时钟输入,可外接时钟或石英晶体。 ML2035生成的频率较低(025KHZ),一般应用于一些需产生的频率为工频和音频的场合。如用2片ML2035产生多频互控信号,并与AMS3104(多频接收芯片)或ML2031/2032(音频检波器)配合,制作通信系统中的收发电路等。 可编程正弦波发生器芯片ML2035设计巧妙,具有可编程、使用方便、价格低廉等优点,应用范围广

17、泛,适合需要低成本、高可靠性的正弦信号的场合。 (3)基于FPGA芯片的解决方案: DDS技术的实现依赖于高速、高性能的数字器件。可编程逻辑器件以其速度高、规模大、可编程,以及有强大EDA软件支持等特性,十分适合实现DDS技术。Altera是著名的PLD生产厂商,多年来一直占据着行业领先的地位。Altera的PLD具有高性能、高集成度和高性价比的优点,此外它还提供了功能全面的开发工具和丰富的IP核、宏功能库等,因此Altera的产品获得了广泛的应用。Altera的产品有多个系列,按照推出的先后顺序依次为Classic系列、MAX(Multiple Array Matrix)系列、FLEX(Fl

18、exible Logic Element Matrix)系列、APEX(Advanced Logic Element Matrix)系列、 ACEX系列、Stratix系列以及Cyclone等。 虽然有的专用DDS芯片的功能也比较多,但控制方式却是固定的,因此不一定是我们所需要的。而利用FPGA则可以根据需要方便地实现各种比较复杂的调频、调相和调幅功能,具有良好的实用性。就合成信号质量而言,专用DDS芯片由于采用特定的集成工艺,内部数字信号抖动很小,可以输出高质量的模拟信号;利用FPGA也能输出较高质量的信号,虽然达不到专用DDS芯片的水平,但信号精度误差在允许范围之内。因此选择第(3)种方案

19、来进行设计。2.3存储器方案(1)波形表存储器 因为本设计是采用FPGA实现DDS的功能,所以使用FPGA作为数据转换的桥梁,将波形数据存储到其内部的RAM中,并由DDS系统产生波形输出。需存储在RAM中的波形数据是由单片机采集外部数据,对ROM中存储的标准波形进行各种相应的运算而得到。波形表存储器ROM有三种方法实现。方法一:外接ROM用单片机来完成。可采用并行两片32K的EEPROM存储器AT28C256,共16位位宽,可以实现12位波形表存储,150ns读取速度完全满足20KHZ的工作频率。实现方案:将归一化的正弦波存储在32KEEPROM中,波形存储64个点。然后由单片机根据键盘输入的

20、不同要求,对各点数据乘相应系数并叠加,再将所得到的新数据存储在RAM中,此时便得到了所需要的波形数据表。方法二:由逻辑方式在FPGA中实现。方法三:利用Altera公司的含于EAB器件中的兆功能模块LPM_ROM,通过VHDL语言编程来实现。第一种方法容量最大,但速度最慢,且编程比较麻烦;第二种方法速度最快,但容量非常小;第三种方法兼顾了两者的优点,克服了其缺点。因此ROM选用第三种方法。(2)外存储器 由于本设计选用的单片机为STC12C5A60S2,它相对于高速的FPGA来说速度有点慢,因此对单片机扩展外部数据存储器和波形存储器。半导体存储器可分为三类:只读存储器(ROM、PROM、EPR

21、OM),随机存储器(SRAM、DRAM),不挥发性读写存储器(EEPROM、NOVRAM)。本设计要实现编辑功能,故必须选择随机存储器或不挥发性读写存储器。方案一:采用SRAM(6264:8KRAM)和EEPROM(2817:2KROM),通过总线隔离的办法实现,既能通过CPU改变存储器数据,又能通过相位累加实现读取波形存储器数据的功能。方案二:采用特殊存储器双口RAM。双口RAM有左右两套相同的I/O口,即两套数据总线,分别有两套地址、控制总线,并有一套竞争仲裁电路。它可通过左右两边的任一组I/O进行异步的存储器读写操作,避免了系统总线隔离17。 对比上述两种方案,方案一的硬件电路虽较复杂,

22、但设计简单,成本低,容量大,故采用此方案。3、硬件设计3.1 DDS信号源对电路的要求 信号源作为电子测量系统中应用最为普遍的电子测量仪器之一,是工业控制、教学科研常用的基础仪器。其中直接数字合成DDS具有频率切换速度快、频率分辨率高、可靠性高、成本低、易于实现单片集成及便于生产等一系列优点,是当今和今后信号源发展的主流方向。如图2所示,它主要由频率控制字、时标时钟、相位累加器,波形存储器,DAC及LPF组成。图2 DDS信号发生器原理示意图由于时标时钟是一个高稳态晶体振荡器,用于同步DDS中各部件(相位累加器、波形存储器、DAC)的工作,因此DDS输出的合成信号频率稳定度和晶体振荡器是一样的

23、。从原理示意图上看出DDS是一个开环系统,无反馈环节,因此合成频率的转换时间主要由低通滤波器的迟延时间来决定(低通滤波器的迟延时间一般都很小于10个ns)。这使得DDS的调谐时间比用锁相环合成频率的方法要快几个数量级,这是DDS信号发生器的一个非常重要的特点,这也扩大了它的应用范围。频率控制字K是相位累加器的输入量,决定信号的输出频率。它在时标时钟的控制下送入相位累加器中进行累加。相位累加器的结果作为波形存储器的读操作地址输入到波形存储器的读操作地址端,波形存储器的输出就是我们要产生的信号的数据序列,通过DA转换与低通滤波器,产生我们所需的模拟信号波形。下面简单介绍一下DDS的优点:(1)输出

24、频率相对带宽较宽 输出频率带宽为50%fs(理论值)。但考虑到低通滤波器的特性和设计难度以及对输出信号杂散的抑制, 实际的输出频率带宽仍能达到40%s。(2)频率转换时间短 DDS是一个开环系统,无任何反馈环节,这种结构使得DDS的频率转换时间极短。事实上,在DDS的频率控制字改变之后,需经过一个时钟周期之后按照新的相位增量累加,才能实现频率的转换。因此,频率转换的时间等于频率控制字的传输时间,也就是一个时钟周期的时间。时钟频率越高,转换时间越短。DDS的频率转换时间可达纳秒数量级,比使用其它的频率合成方法都要短数个数量级。 (3)频率分辨率极高 若时钟fs的频率不变,DDS的频率分辨率就由相

25、位累加器的位数决定。 只要增加相位累加器的位数即可获得更小的频率分辨率。目前,大多数DDS的分辨率在HZ数量级,许多小于mHZ甚至更小。 (4)相位变化连续 改变DDS输出频率,实际上改变的每一个时钟周期的相位增量,相位函数的曲线是连续的,只是在改变频率的瞬间其频率发生了突变,因而保持了信号相位的连续性。(5)输出波形的灵活性 只要在DDS内部加上相应控制如调频控制FM、 调相控制PM和调幅控制AM,即可以方便灵活地实现调频、调相和调幅功能,产生FSK、PSK、ASK和MSK等信号。另外,只要在DDS的波形内存存放不同波形数据, 就可以实现各种波形输出, 如三角波、锯齿波和矩形波甚至是任意的波

26、形。当DDS的波形内存分别存放正弦和余弦函数表时,既可得到正交的两路输出。(6)其它优点 由于DDS中几乎所有部件都属于数字电路, 易于集成, 功耗低、体积小、重量轻、可靠性高,且易于程控,使用相当灵活,因此性价比极高。DDS的核心就是相位累加器,利用它来产生信号递增的相位信息,整个DDS系统在统一的参考时钟下工作,每个时钟周期相位累加器作加法运算一次。加法运算的步进越大,相应合成的相位值变化越快,输出信号的频率也就越高。对于幅值归一化的正弦波信号的瞬时幅值完全由瞬时相位来决定,因为所以相位变化越快,信号的频率越高。ROM表完成将累加器相位信息转换为幅值信息的功能。再由D/A完成数字抽样信号到

27、连续时域信号的转换,D/A输出的台阶信号再经低通滤波器平滑以得到精确的连续正弦信号波形。图3是DDS各点输出信号波形图3 DDS各点输出信号波形3.2 单片机与FPGA的设计在功能上,单片机与FPGA有很强的互补性。单片机具有性价比高、功能灵活、易于人机对话、良好的数据处理能力等特点;FPGA则具有高速、高可靠性以及开发便捷、规范等优点。用这两类器件相结合的电路结构在许多高性能仪器仪表和电子产品中仍将被广泛应用。单片机与FPGA的接口方式一般有两种,即总线方式与独立方式。因为单片机以总线方式与FPGA进行数据与控制信息通信有许多优点,如速度快;节省PLD芯片的I/O口线;编程简捷,控制可靠;另

28、外在FPGA中通过逻辑切换,单片机易于与SRAM或ROM接口。故单片机与FPGA的接口方式选用总线方式。STC 12LE5A60S2单片机系统是整个硬件系统的核心,它既是协调整机工作的控制器,又是数据处理器。管脚图如图4所示图4 STC 12LE5A60S2单片机管脚图STC12C5A60S2系列单片机介绍STC12C5A60S2/AD/PWM系列单片机是宏晶科技生产的单时钟/机器周期(1T)的单片机,是高速/低功耗/超强抗干扰的新一代8051单片机,指令代码完全兼容传统8051,但速度快8-12倍。内部集成MAX810专用复位电路,2路PWM,8路高速10位A/D转换(250K/S),针对电

29、机控制,强干扰场合。(1).增强型8051 CPU,1T,单时钟/机器周期,指令代码完全兼容传统8051(2).工作电压:STC12C5A60S2系列工作电压:5.5V- 3.3V(5V单片机)STC12LE5A60S2系列工作电压:3.6V- 2.2V(3V单片机)(3).工作频率范围:0 - 35MHz,相当于普通8051的 0420MHz(4).用户应用程序空间8K /16K / 20K / 32K / 40K / 48K / 52K / 60K / 62K字节.(5).片上集成1280字节RAM(6).通用I/O口(36/40/44个),复位后为:准双向口/弱上拉(普通8051传统I/

30、O口) 可设置成四种模式:准双向口/弱上拉,推挽/强上拉,仅为输入/高阻,开漏 每个I/O口驱动能力均可达到20mA,但整个芯片最大不要超过55mA(7). ISP(在系统可编程)/IAP(在应用可编程),无需专用编程器,无需专用仿真器 可通过串口(P3.0/P3.1)直接下载用户程序,数秒即可完成一片(8).有EEPROM功能(STC12C5A62S2/AD/PWM无内部EEPROM)(9). 看门狗(10).内部集成MAX810专用复位电路(外部晶体12M以下时,复位脚可直接1K电阻到地)(11).外部掉电检测电路:在P4.6口有一个低压门槛比较器 5V单片机为1.32V,误差为+/-5%

31、,3.3V单片机为1.30V,误差为+/-3%(12).时钟源:外部高精度晶体/时钟,内部R/C振荡器(温漂为+/-5%到+/-10%以内) 1用户在下载用户程序时,可选择是使用内部R/C振荡器还是外部晶体/时钟 常温下内部R/C振荡器频率为:5.0V单片机为:11MHz15.5MHz 3.3V单片机为: 8MHz12MHz 精度要求不高时,可选择使用内部时钟,但因为有制造误差和温漂,以实际测试为准(13).共4个16位定时器 两个与传统8051兼容的定时器/计数器,16位定时器T0和T1,没有定时器2,但有独立波特率发生器 做串行通讯的波特率发生器 再加上2路PCA模块可再实现2个16位定时

32、器(14). 2个时钟输出口,可由T0的溢出在P3.4/T0输出时钟,可由T1的溢出在P3.5/T1输出时钟(15).外部中断I/O口7路,传统的下降沿中断或低电平触发中断,并新增支持上升沿中断的PCA模块, Power Down模式可由外部中断唤醒, INT0/P3.2, INT1/P3.3, T0/P3.4, T1/P3.5, RxD/P3.0, CCP0/P1.3(也可通过寄存器设置到P4.2 ), CCP1/P1.4 (也可通过寄存器设置到P4.3)(16). PWM(2路)/PCA(可编程计数器阵列,2路) -也可用来当2路D/A使用 -也可用来再实现2个定时器 -也可用来再实现2个

33、外部中断(上升沿中断/下降沿中断均可分别或同时支持)(17).A/D转换, 10位精度ADC,共8路,转换速度可达250K/S(每秒钟25万次)18.通用全双工异步串行口(UART),由于STC12系列是高速的8051,可再用定时器或PCA软件实现多串口(19). STC12C5A60S2系列有双串口,后缀有S2标志的才有双串口,RxD2/P1.2(可通过寄存器设置到P4.2),TxD2/P1.3(可通过寄存器设置到P4.3)(20).工作温度范围:-40 - +85(工业级) / 0 - 75(商业级)21.封装:PDIP-40,LQFP-44,LQFP-48 I/O口不够时,可用2到3根普

34、通I/O口线外接 74HC164/165/595(均可级联)来扩展I/O口, 还可用A/D做按键扫描来节省I/O口,或用双CPU,三线通信,还多了串口。单片机的功能主要是传送频率控制字K1和相位控制字K2给FPGA处理;生成波形表存储于EEPROM中;控制键盘的写入和LED的显示;控制DAC5190进行幅值转换。在每次加电前都要通过单片机初始化,将写好的程序加载在信号产生系统上,然后把从键盘上输入的数据送到中央处理芯片上,LCD数码管显示信号的频率和相位差。早期的DDS系统使用分离的数字器件搭接,随着整个电路系统运行频率的升高,采用分离器件构建的DDS电路有其自身无法克服的缺点,主要表现在电磁

35、兼容和系统工作频率上。后来出现的专用DDS芯片极大的推动了DDS技术的发展,DDS专用芯片电路广泛的应用于各个领域。其中以AD公司的产品比较有代表性。如AD7008、AD9850、AD985l、AD9852、AD9858等。其系统时钟频率从30MHz到300MHz不等,其中的AD9858系统时钟更是达到了lGHz。这些芯片还具有调制功能,如AD7008可以产生正交调制信号,而AD9852也可以产生FSK、PSK、线性调频以及幅度调制的信号。这些芯片集成度高,内部都集成了DA转换器,精度最高可达12bit。同时都采用了一些优化设计来提高性能。如这些芯片中大多采用了流水技术,通过流水技术的使用,提

36、高了相位累加器的工作频率,从而使得DDS芯片的输出频率可以进一步提高。通过运用流水技术在保证相位累加器工作频率的前提下,相位累加器的字长可以设计得更长,如AD9852的相位累加器达到了48位,大大提高了输出信号的频率分辨率。由于DDS的周期性,输出杂散频谱往往表现为离散谱线,而这些芯片大多采用了随机抖动技术使离散谱线均匀化,从而提高输出频谱的无杂散动态范围。但专用DDS芯片价格昂贵,且无法实现任意波形输出。CPLD及FPGA的发展为实现DDS提供了更好的技术手段。FPGA(Field Programmable Gate Array)是目前广泛采用的一种可编程器件,它的应用不仅使得数字电路系统的

37、设计非常方便,并且还大大缩短了系统研制的周期,缩小了数字电路系统的体积和所用芯片的品种。而且它的时钟频率已可达到几百兆赫兹,加上它的灵活性和高可靠性,非常适合用于实现波形发生器的数字电路部分。用FPGA设计DDS电路比采用专用DDS芯片更为灵活。因为,只要改变FPGA中的ROM数据,DDS就可以产生任意波形,因而具有相当大的灵活性。相比之下,FPGA的功能完全取决于设计需求,可以复杂也可以简单,而且FPGA芯片还支持在系统现场升级,虽然在精度和速度上略有不足,但也能基本满足绝大多数系统的使用要求。另外,将DDS设计嵌入到FPGA芯片所构成的系统中,其系统成本并不会增加多少,而购买专用芯片的价格

38、则是前者的很多倍。因此,采用FPGA来设计DDS系统具有很高的性价比。用FPGA可以非常方便的实现DDS系统的数字电路环节,且可现场编程进行电路的修改。在 DDS系统中,FPGA的主要完成:(1)保存频率字;(2)保存相位字;(3)构成相位累加器,产生波形RAM的地址;(4)形成波形RAM。FPGA核心板电路如图5所示图5.FPGA核心板电路3.3 矩阵式键盘接口设计矩阵键盘工作原理:行线通过上拉电阻接到+5V上。无按键,行线处于高电平状态,有键按下,行线电平状态将由与此行线相连的列线电平决定。列线电平为低,则行线电平为低;列线电平为高,则行线电平为高。消除抖动影响。键盘按键所用开关为机械弹性

39、开关,利用了机械触点的合、断作用。由于机械触点的的弹性作用,一个按键开关在闭合和断开的瞬间均有一连串的抖动。抖动时间的长短由按键的机械特性决定,一般为510ms,这是一个很重要的参数。抖动过程引起电平信号的波动,有可能令CPU误解为多次按键操作,从而引起误处理。为了确保CPU对一次按键动作只确认一次按键,必须消除抖动的影响。按键的消抖,通常有软件,硬件两种消除方法。硬件消抖:这种方法只适用于键的数目较少的情况。软件消抖:如果按键较多,硬件消抖将无法胜任,常采用软件消抖。通常采用软件延时的方法:在第一次检测到有键按下时,执行一段延时10ms的子程序后,再确认电平是否仍保持闭合状态电平,如果保持闭

40、合状态电平,则确认真正有键按下,进行相应处理工作,消除了抖动的影响。(这种消除抖动影响的软件措施是切实可行的。)采取串键保护措施。串键:是指同时有一个以上的键按下,串键会引起CPU错误响应。通常采取的策略:单键按下有效,多键同时按下无效。处理连击。连击:是一次按键产生多次击键的效果。要有对按键释放的处理,为了消除连击,使得一次按键只产生一次键功能的执行(不管一次按键持续的时间多长,仅采样一个数据)。否则的话,键功能程序的执行次数将是不可预知,由按键时间决定。连击是可以利用的。连击对于用计数法设计的多功能键特别有效。键盘主要按键功能介绍如下:“09”:数字键,设定信号频率;“OK”:用于对波形信

41、号设置的确认,波形信号的设置必须“OK”后才有效;“+”:频率增加1HZ;“-”:频率减少1HZ“SIN”:将波形调整为正弦波;“SQU”:将波形调整为方波波;“TRI”:将波形调整为三角波;设定频率输出范围为1 Hz1 MHz,频率步进为1 Hz。3.4 相位/幅度转换电路 相位/幅度转换电路是DDS电路中的另一个关键部分。该电路通常采用ROM结构,相位累加器的输出是一种数字式锯齿波,通过取它的若干位作为ROM的地址输入,而后通过查表和运算,ROM就能输出所需波形的量化数据。 在FPGA(针对Altera公司的器件)中,ROM一般由EAB实现,且ROM表的尺寸随地址位数或数据位数的增加成指数

42、递增关系,因此在满足信号性能的前提下,如何有效利用FPGA的有限资源,成为相位/幅度转换电路中最关键的一点。在设计时可充分利用信号周期内的对称性和算术关系来减少EAB的开销。3.5 DA转换单元数模转换单元是继波形数据产生单元之后,将数字量形式的波形幅值转换成所要求的合成频率的模拟量形式信号。DAC输出信号实际上是阶梯模拟信号,需在数模转换后利用低通滤波器对波形进行平滑处理。在此,采用ADI公司生产的单片双8位CMOS乘法数模转换器AD5190,线性度达到12,转换时间达到纳秒级,可以很准确地进行1 MHz信号的量化运算。DA信号波形输出电路如图6所示 图6.DA信号波形输出电路3.6 FPG

43、A实现的直接数字频率合成器本设计基于DDS的基本原理,利用Altera公司的FPGA芯片FLEX10系列器件设法将波形采样点的值依次通过数模转换器(MDAC)转换成模拟量输出,可达到预期的目的,具有较高的性价比。其基本环节由计数器(Counter)、只读存储器(EPROM)、数模转换器(MDAC)和滤波器等组成(同DDS原理)。具体方案如下:累加器由加法器和D触发器级联组成。在时钟脉冲fc的控制下,对输入频率控制字K进行累加,累加满量时产生溢出。相位累加器的输出对应于该合成周期信号的相位,并且这个相位是周期性的,在02范围内起变化。相位累加器位数为N,最大输出为2-1,对应于2的相位,累加一次

44、就输出一个相应的相位码,通过查表得到正弦信号的幅度,然后经D/A转换及低通滤波器滤除不需要的取样分量,以便输出频谱纯净的正弦波信号。整个DDS电路的电路结构如图7所示。图7 FPGA实现的DDS原理框图4、软件设计 4.1设计目的与思路 设计目的: 接收用户键盘输入的数据(信号参数的预制、选择和步进)和控制指令,控 制液晶显示,向FPGA发送数据及控制指令,控制AD采样外输入信号(扩展外调制功能)。 设计思路: 根据题目要求,本软件设计了4 级菜单结构及友好提示界面(键盘输入, 液晶显示)。用户可通过键盘选择菜单项设置输出信号的参数(频率、调制度、 频偏等),或选择输出信号(正弦波、模拟调幅波

45、、模拟调频波等)。当用户选 择 输出时,程序把设置的参数送至FPGA,并对FPGA发出控制命令使其输出需要 的 信号。当用户选择外输入模拟调制时程序控制AD 采样外输入信号,转换到合适 的范围后发送至FPGA。 4.2 设计过程 用VHDL编程的话,至少需要建立一个加法器、一个锁存器以及一个ROM存储器;加法器用来累加相位或者地址信号,锁存器用来所存输出信号以及反馈,ROM存储器则用来存储波形数据的数字幅度;最后还需要一个总得模块将三者结合在一起。 以正弦波为例: 首先:建立ROM表; 在quartus II上面使用LPM_ROM,就必须学会MegaWizard Plug-in Manager

46、的用法,要用MegaWizard Plug-in Manager,那么就必须先学会创建mif或者hex文件,下面具体以正弦波为例,介绍mif文件的创建方法以及如何调用LPM_ROM。1、创建mif文件 用Matlab编程实现:首先创建.m文件。如图8所示 图8. 创建.m文件2、使用toolsMegaWizard Plug-in Manager来新建LPM_ROM,主要是要设置你的ROM地址宽度和数据点数,其间需要调用mif文件的,选择你刚才建立的mif即可;3、上面几步完成之后,quartus II 就会自动生成一个VHD文件 其次,建立锁存器模块;如图9所示图9.锁存器模块 第三,建立加法器模块;如图10所示图10. 加法器模块 第四,进行顶层模块的设计;如图11所示图11. 顶层模块仿真结构如图12所示: 图12

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 其他


经营许可证编号:宁ICP备18001539号-1