毕业设计(论文)-交通灯控制电路设计.doc

上传人:椰子壳 文档编号:3947027 上传时间:2019-10-10 格式:DOC 页数:24 大小:1,019KB
返回 下载 相关 举报
毕业设计(论文)-交通灯控制电路设计.doc_第1页
第1页 / 共24页
毕业设计(论文)-交通灯控制电路设计.doc_第2页
第2页 / 共24页
毕业设计(论文)-交通灯控制电路设计.doc_第3页
第3页 / 共24页
毕业设计(论文)-交通灯控制电路设计.doc_第4页
第4页 / 共24页
毕业设计(论文)-交通灯控制电路设计.doc_第5页
第5页 / 共24页
点击查看更多>>
资源描述

《毕业设计(论文)-交通灯控制电路设计.doc》由会员分享,可在线阅读,更多相关《毕业设计(论文)-交通灯控制电路设计.doc(24页珍藏版)》请在三一文库上搜索。

1、芜湖信息技术职业学院毕业论文(设计) 1 学校代码: 14057 学 号: 芜湖信息技术职业学院芜湖信息技术职业学院 毕毕业业论论文文(设设计计) 论文题目:_ 学科专业:_ 作者姓名:_ 指导教师:_ 完成时间:_ 芜湖信息技术职业学院毕业论文(设计) 2 选题背景选题背景 宋体,小四号字,行间距 1.25 倍。500 字左右 毕业论文进度安排: 指导教师意见: 指导教师签名: 年 月 日 芜湖信息技术职业学院毕业论文(设计) 3 毕业论文(设计)写作提纲毕业论文(设计)写作提纲 一、论文题目一、论文题目 二、论题观点来源:二、论题观点来源: 三、基本观点:三、基本观点: 四、论文结构:四、

2、论文结构: 芜湖信息技术职业学院毕业论文(设计) 4 毕业论文(设计)工作中期检查毕业论文(设计)工作中期检查表表 系别: 自动化控制系 班级: 电气二班 学生姓名金科学号20093741指导教师 郑辛星职称讲师 论文(设 计)题目 选题是否有变化 如有,请 填写原因 是否一人一题 是否进行了选题背景、 及写作提纲 是否进行了文献调研 本论文拟解决的关 键问题 教师填写部分 论文(设计)进度情况: 提前完成 正常进行 延期滞后(请写出原因) 工作态度情况(学生对毕业论文(设计)的认真程度、完成指导教师布置任务情况): 认真 较认真 一般 不认真 中期质量评价(学生已完成部分的工作质量情况):

3、好 中 差 存在的问题与建议: 指导教师(签名): 年 月 日 系毕业论文(设计)工作领导小组意见(如被查学生为差的,请系毕业论文设计领导小组写出处 理意见): 领导小组组长(签名): 年 月 日 芜湖信息技术职业学院毕业论文(设计) 5 题目题目: :交通灯控制电路设计交通灯控制电路设计 摘摘要要:交通信号灯常用 于交叉路口,用来控制车的流量,提高交叉口车辆的通行能力,减 少交通事故。交通灯控制 器主要由控制器 、秒脉冲发生器 、定时器、译码显示电路 及信 号灯组成。控制器由74LS153 与 74LS74 来实现,脉冲发生器用 晶体震荡器产生,计数器 采用两个 74161 来实现,显示电路

4、经过74LS192 的倒计数、 七段显示译码器 7447 及七段 数码显示器连接起来实现。 控制器通过 RT对定时器进行控制,从而实现数字的显示及绿、 黄、红灯的转换。 关关键键字字:交通灯 、控制器、秒脉冲发生器 、定时器、译码显示电路 、状态、转 换、主支干道。 一、实验目的一、实验目的 1、掌握综合应用理论知识和中规模集成电路设计方法 2、熟练掌握万用电表、函数信号发生器、数字电路实验箱、示波器的正确使用方法。 3、能识别和正确使用各种所需的集成块、电阻、电位器等,熟悉集成块的管脚功能结构。 4、自行设计实验图、检查和排除故障。 5、掌握调试及电路主要技术指标的测试方法 二、设计任务与要

5、求二、设计任务与要求 有一条主干道和一条支干道的汇合点形成十指交叉路口,为确保车辆安全、迅速地行驶,在交叉路 口的每个入口处设置了红、绿、黄三色信号灯。红灯亮禁止通行;绿灯亮允许通行;黄灯亮则给行 驶中的车辆有时间停靠到禁行线之外。设计一个十字路口交通灯信号控制器,设计要求如下: 1、用红、绿、黄三色发光二极管作信号灯,用传感器或用逻辑开关代替传感器作检测车辆是否到 来的信号,设计制作一个交通灯控制器。 2、由于主干道车辆较多而支干道车辆较少,所以主干道处于常允许通行的状态,而支干道有车来 才允许通行,当主干道允许通行亮绿灯时,支干道亮红灯。而支干道允许通行亮绿灯时,主干道亮 红灯。 3、当主

6、、支干道均有车时,两者交替允许通行,主干道每次放行 24s,支干道每次放行 20s,设立 24s,和 20s 计时显示电路。 4、在每次由亮绿灯转变成亮红灯的转换过程中间,要亮 4s 的黄灯作为过渡,以使行驶中的车辆有 时间停到禁止线以外,设置 4s 计时显示电路。 三、设计原理与参考电路三、设计原理与参考电路 1分析系统的逻辑功能,画出其框图分析系统的逻辑功能,画出其框图 交通灯控制系统的原理框图如图 12、1 所示。它主要由控制器、定时器、译码器和秒脉冲信号发 生器等部分组成。秒脉冲发生器是该系统中定时器和控制器的标准时钟信号源,译码器输出两组信 号灯的控制信号,经驱动电路后驱动信号灯工作

7、,控制器是系统的主要部分,由它控制定时器和译 码器的工作。图中: TL: 表示甲车道或乙车道绿灯亮的时间间隔为 25 秒,即车辆正常通行的时间间隔。定时时间到, 芜湖信息技术职业学院毕业论文(设计) 6 TL=1,否则,TL=0。 TY:表示黄灯亮的时间间隔为 5 秒。定时时间到,TY=1,否则,TY=0。 ST:表示定时器到了规定的时间后,由控制器发出状态转换信号。由它控制定时器开始下个工 作状态的定时。 图 12、1 交通灯控制系统的原理框图 2画出交通灯控制器的画出交通灯控制器的 ASM(Algorithmic State Machine,算法状态机),算法状态机) (1)图甲车道绿灯亮

8、,乙车道红灯亮。表示甲车道上的车辆允许通行,乙车道禁止通行。绿灯亮 足规定的时间隔 TL 时,控制器发出状态信号 ST,转到下一工作状态。 (2)甲车道黄灯亮,乙车道红灯亮。表示甲车道上未过停车线的车辆停止通行,已过停车线的 车辆继续通行,乙车道禁止通行。黄灯亮足规定时间间隔 TY 时,控制器发出状态转换信号 ST, 转到下一工作状态。 (3)甲车道红灯亮,乙车道黄灯亮。表示甲车道禁止通行,乙车道上的车辆允许通行绿灯亮足 规定的时间间隔 TL 时,控制器发出状态转换信号 ST,转到下一工作状态。 (4)甲车道红灯亮,乙车道黄灯亮。表示甲车道禁止通行,乙车道上位过县停车线的车辆停止 通行,已过停

9、车线的车辆停止通行,已过停车线的车辆继续通行。黄灯亮足规定的时间间隔 TY 时, 控制器发出状态转换信号 ST,系统又转换到第(1)种工作状态。 交通灯以上 4 种工作状态的转换是由控制器器进行控制的。设控制器的四种状态编码为 00、01、11、10,并分别用 S0、S1、S3、S2 表示,则控制器的工作状态及功能如表 12、1 所示, 控制器应送出甲、乙车道红、黄、绿灯的控制信号。为简便起见,把灯的代号和灯的驱动信号合二 芜湖信息技术职业学院毕业论文(设计) 7 为一,并作如下规定: 表 12、1 控制器工作状态及功能 控制状态 信号灯状态 车道运行状态 S0(00) 甲绿,乙红 甲车道通行

10、,乙车道禁止通行 S1(01) 甲黄,乙红 甲车道缓行,乙车道禁止通行 S3(11) 甲红,乙绿 甲车道禁止通行,甲车道通行 S2(10) 甲红,乙黄 甲车道禁止通行,甲车道缓行 AG=1:甲车道绿灯亮; BG=1:乙车道绿灯亮; AY=1:甲车道黄灯亮; BY=1:乙车道黄灯亮; AR=1:甲车道红灯亮; BY=1:乙车道红灯亮; 由此得到交通灯的 ASM 图,如 图 12、2 所示。设控制器的初始状态为 S0(用状态框表示 S0) ,当 S0 的持续时间小于 25 秒时,TL=0(用判断框表示 TL) ,控制器保持 S0 不变。只有当 S0 的持续时间等于 25 秒时,TL=1,控制器发出

11、状态转换信号 ST(用条件输出框表示 ST) ,并转换到 下一个工作状态。依此类推可以弄懂 ASM 图所表达的含义。 三单元电路的设计三单元电路的设计 1、基于、基于 NE555 的秒方波发生器的秒方波发生器 用 NE555 芯片以及外围电路搭建成一个多谐振荡器,通过设计外围电路的参数输 出方波频率为 1Hz,故称为秒方波发生器。由于脉冲的占空比对系统的影响不大,故 把占空比设计为 1/3。输出方波用作计数器及 D 触发器的 clk 信号。NE555 定时器引脚 图如图 1 所示,脉冲频率公式: f=1(R1+2R2)C2C2 选择 R1=47K,R2=47K,RV1=2K,C=10F,形成电

12、路图如图 2 所示: 图 1 芜湖信息技术职业学院毕业论文(设计) 8 如上图所示,R6 和 C2 组成一个串联的充放电电路,在 NE555 的 7 引脚上输出一 个方波信号 C2 上得到一个三角波,此三角波送到 NE555 的 2 脚输入端。由 NE555 的 内部比较器和门电路共同作用,以维持 7 脚上的方波信号和 3 脚上的输出方波。 2. 倒计时计数器倒计时计数器 这里是采用两片 74192 两片芯片构成 16 和 11 进制计数器,控制个位数字的 74192 的减计数控制端接 1HZ 的脉冲输入,其中输入端 A、C 是接在一起并接在进制控制器 的输出端。其中两个 74192 的置数端

13、是由控制十位数字的 74192 一个高位输出端 QD 通过一个非门接过来的,并且低位输入端 A 接高电平,控制十位数字的 74192 的减计 数控制端接控制个位数字的 74192 的借位输出端 BO。两个 74192 的清零端都接在紧 急开关的一端。如下图: 芜湖信息技术职业学院毕业论文(设计) 9 3黄灯控制电路黄灯控制电路 这里是采用数据分配器 74138,该芯片的输入端 A、B、C 分别接倒计时计数 器中的控制个位数字的 74192 的输出端 QA、QB、QC,为了保证是在显示器只是 在显示 05s 时黄灯亮,应把此 74138 的使能端 G1 由倒计时计数器中的控制十位 数字的 741

14、92 的输出端 QA 与由倒计时计数器中的控制个位数字的 74192 的输出端 QD 通过一个或门再经过一个非门接过去。然后 6 输出端 Y0、Y1、Y2、Y3、Y4、Y5 都分别经过一个非门然后都接入一个或门,那么或门 的输出端就是接黄灯的,也即黄灯控制器的输出端。如下图: 4信号灯转换电路(计数进制转换器)信号灯转换电路(计数进制转换器) 信号灯转换器其实就是由计数进制转换器来实现,即一个 JK 触发器,其中 J、K 端都同时接高电平,即构成了一个 T触发器,目的就是实现翻转功能,其 时钟输入端是由倒计时计数器中的两片 74192 的八个输出端经过一个或门然后经 芜湖信息技术职业学院毕业论

15、文(设计) 10 过一个非门接入。如下图: 5交通灯显示电路交通灯显示电路 一个方向的红灯由信号灯转换电路的反向输出端Q 和一个紧急开关的输出端经过 一个或门接入,黄灯是由信号灯转换电路的正向输出端 Q 和一个紧急开关的输出端经过 一个非门以及一个黄灯控制电路的输出端经过一个 1HZ 的脉冲源,这三个输出端再经过 一个与门接入,绿灯由信号灯转换电路的正向输出端 Q 和一个紧急开关的输出端经过一 个非门,这两个输出端再经过一个与门接入;另一个方向的红灯由信号灯转换电路的正向 输出端 Q 和一个紧急开关的输出端经过一个或门接入,黄灯是由信号灯转换电路的反向 输出端Q 和一个紧急开关的输出端经过一个

16、非门以及一个黄灯控制电路的输出端经过 一个 1HZ 的脉冲源,这三个输出端再经过一个与门接入,绿灯由信号灯转换电路的反向 输出端Q 和一个紧急开关的输出端经过一个非门,这两个输出端再经过一个与门接入. 其中还加入一人行道的信号灯,这些灯的接法就是红灯接在相邻车辆信号灯的绿灯上,绿 灯接在相邻车辆信号灯的红灯上即可,也就是该方向上的车辆通行,人行道就禁通行,反之,人 行道通行.如下图: 芜湖信息技术职业学院毕业论文(设计) 11 A 1 B 2 C 3 E1 6 E2 4 E3 5 Y0 15 Y1 14 Y2 13 Y3 12 Y4 11 Y5 10 Y6 9 Y7 7 U7 74LS138

17、4 5 6 U10:B 7400 D1 LED-GREEN D2 LED-RED D3 LED-YELLOW D4 LED-GREEN D5 LED-YELLOW D6 LED-RED 1312 U5:D 7404 1110 U5:E 7404 10 9 8 U10:C 7400 98 U5:F 7404 13 12 11 U10:D 7400 12 U11:A 7404 1 2 3 U12:A 7400 34 U11:B 7404 56 U11:C 7404 绿绿灯灯黄黄灯灯红红灯灯 绿绿灯灯 黄黄灯灯 红红灯灯 6.紧急开关紧急开关 该开关这里用的是单刀双掷开关,一端就是接入每个交通灯,直

18、接接红灯,其它灯是经 过了一个非门接入,该端还接入到倒计时计数器两片 74192 的清零端.另一端是不起作用, 其实也可以用一个单刀单掷开关,该开关不仅能够在发生紧急事件,可以手动控制四个 方向红灯全亮。在处理完紧急事件后,第一次开始进入正常运行状态时还可以通过它控 制切换选择哪个方向开始先通行. 图 7 五、仿真及调试五、仿真及调试 EWB 仿真软件简介仿真软件简介 随着电子技术和计算机技术的发展,电子产品已与计算机紧密相连,电子产品的 智能化日益完善,电路的集成度越来越高,而产品的更新周期却越来越短。电子设计 自动化(EDA)技术,使得电子线路的设计人员能在计算机上完成电路的功能设计、逻

19、辑设计、性能分析、时序测试直至印刷电路板的自动设计。EDA 是在计算机辅助设计 芜湖信息技术职业学院毕业论文(设计) 12 (CAD)技术的基础上发展起来的计算机设计软件系统。与早期的 CAD 软件相比,EDA 软件的自动化程度更高、功能更完善、运行速度更快,而且操作界面友善,有良好的 数据开放性和互换性。 电子工作平台 Electronics Workbench (EWB)(现称为 MultiSim) 软件是加拿大 Interactive Image Technologies 公司于八十年代末、九十年代初推出的电子电路仿 真的虚拟电子工作台软件,它具有这样一些特点: (1)采用直观的图形界面

20、创建电路:在计算机屏幕上模仿真实实验室的工作台,绘制 电路图需要的元器件、电路仿真需要的测试仪器均可直接从屏幕上选取; (2)软件仪器的控制面板外形和操作方式都与实物相似,可以实时显示测量结果。 (3)EWB 软件带有丰富的电路元件库,提供多种电路分析方法。 (4)作为设计工具,它可以同其它流行的电路分析、设计和制板软件交换数据。 (5)EWB 还是一个优秀的电子技术训练工具,利用它提供的虚拟仪器可以用比实验室 中更灵活的方式进行电路实验,仿真电路的实际运行情况,熟悉常用电子仪器测量方 法。 因此非常适合电子类课程的教学和实验。 PCB 图:图: 芜湖信息技术职业学院毕业论文(设计) 13 印

21、刷图样式:印刷图样式: 功能测试功能测试 时间显示的调试时间显示的调试 因此在调试之初,高位片的借位信号作为计数器的置数控制信号 芜湖信息技术职业学院毕业论文(设计) 14 在调试过程中存在 00 这种输出状态,这样就会导致红黄绿灯亮的时间分别为 60- 00,05-00,25-00。这样每种灯亮的时间就会增加一秒成为 61 秒,6 秒,26 秒。这样 就不符合题目要求的时序。所以需要想办法消除 00 这种状态。后来想到 0000 0000 这 种状态来作为计数器的置数信号,同时这样就会要求除 0000 0000 这种状态,其他状 态时计数器都能正常倒计数。所以考虑到将计数器的八个输出端相或作

22、为置数信号。 这个时候也恰好产生一个下降沿来使控制电路输出状态转化,进而使预置数电路的输 出变化,然后输入到计数器,这样刚刚好能达到效果。 虽然用到了两个四输入与门和一个二输入与门,但是能有效地消除 00 这种状态。 使得时序满足设计要求,另外计数过程也更符合实际情况。 时间预置的调试时间预置的调试 在设计之初,考虑到用尽量少的芯片作为时间的预置数电路,或者是直接用控制器 的不同状态在计数器上实现预置数,但是这样需要用到比较多的逻辑门电路,是电路 变得复杂,而且每次调整时间都的重新设计组合逻辑电路,比较繁琐。后来考虑到用 编码器来进行时间预置。到参考了二十进制优先编码器。 芜湖信息技术职业学院

23、毕业论文(设计) 15 这是一种可行的时间预置电路,由于输出的有效状态是高电平,所以需在每个输出端 加一个非门。由于是优先编码器,时间预置时只需要考虑优先端,其他端保持输入高 电平即可,在控制电路输出一种状态对对应的置数读入时,其他状态的置数都无效。 扩展功能的调试扩展功能的调试 在设计的电路能完成任务要求后,我考虑通过对电路的局部修改来实现扩展功能。 为了增强电路的扩展功能,可以在计数器的置数信号和控制开关相与来控制两个触发 器的时钟脉冲信号,因此当将主道的开关 A 掷 0,打开秒脉冲发生器。然后将开关掷 1 即可开始计数,若需要控制从那种颜色的灯先开始计时,先将开关置 1 然后置 0,即可

24、 产生一个下降沿脉冲,同时也是一个置数信号。可以置数为控制器控制的下一状态。 芜湖信息技术职业学院毕业论文(设计) 16 虽然很好的实现了扩展功能,使得任务的所有要求都能很好的实现。但是在这个时 候我产生了一个疑问。这是一个关于时间延迟的问题。在计数器的输出变为 0000 0000 的瞬间,置数信号变为低电平,同时控制器产生下降脉冲,使得其状态发生改变,我 觉得置数信号没有通过组合逻辑电路,应先于控制器状态改变发生置数。比如,控制 器输出为 00 时,时间预置为 44。当计数器的输出产生置数信号时,由于时间延迟,这 一瞬间,时间预置电路应该没有选择到 05。所以,时间预置应该还是 44。这种疑

25、问并 不是没有意义,如果是在做电路实物时出现这用延迟,肯定就不能达到在电脑上仿真 时的效果。后来想到,假设真是预置时间还是 44 不变,在置数完成之前,指数信号输 出仍然是 0,此时计数器仍然处与置数状态,这是时间预置电路应经变为了下一个状态 05,然后计数器就置数为 04,所以最终置数后输出会是下一个状态 05(经验证这中间 确实存在一个跳变即显示输出由 44 跳变为 4,不过时间非常短暂) ,置数信号变为 1, 计数器开始正常工作。 在电路调试过程中很多时候都会遇到这种临界状态的时序问题,各种电路变化的先 后问题,分析好这些情况才能更好的了解电路,对错误进行有针对性的迅速的调整, 设计出比

26、较好的电路。 电路的整体调试电路的整体调试 将主道的开关 A 掷 0,打开秒脉冲发生器。然后将开关掷 1 即可开始计数,若需要控 制从那种颜色的灯先开始计时,先将开关置 1 然后置 0,即可产生一个下降沿脉冲,同 时也是一个置数信号。可以置数为控制器控制的下一状态。次道亦同。 该电路测试过程过: 主、次道路绿、黄、红灯亮的时间可以预置; 主、次道路绿、黄、红灯亮的时间可以分别调整; 满足任务的要求 芜湖信息技术职业学院毕业论文(设计) 17 六、总电路设计图六、总电路设计图 6.1 6.2元件清单及其参数: 序号名称元件编号参数备注 1四位二进制加法 计数器 74LS1602 2双四选一数据选

27、 择器 74LS1532 3四个二输入与非门74LS0014 脚1 芜湖信息技术职业学院毕业论文(设计) 18 3六个单输入的非门74LS0414 脚1 4四个二输入的与门74LS0814 脚3 5三个三输入与非门74LS1114 脚1 674LS16414 脚1 7显示器74LS24816 脚4 874LS16816 脚4 4双输入与门74LS3214 脚1 9双 D 触发器74LS7414 脚1 10发光二极管红绿 黄 LED6(各 2 个) 11双刀双掷开关SW-DPDT6 脚1 12数码管译码74484 133-8 译码器74LS1381 14电阻1K35 15电阻10K2 16电阻4

28、7k2 17可变电阻2k1 18瓷片电容0.01uF1 19电解电容10uF1 所用芯片简介:所用芯片简介: 芜湖信息技术职业学院毕业论文(设计) 19 74LS16474LS164 引脚图及引脚功能引脚图及引脚功能 164 为 8 位移位寄存器,其主要电特性的典型值如下: 54/74164 185mW 54/74LS164 80mW 当清除端(CLEAR)为低电平时,输出端(QAQH)均为低电平。 串行数据输入端(A,B)可控制数据。 当 A、B 任意一个为 低电平,则禁止新数据输入,在时钟端(CLOCK)脉冲上升沿作用下 Q0 为低电平。 当 A、B 有一个为高电平,则另一个就允许输入数据

29、,并在 CLOCK 上升沿作用下决定 Q0 的状态。 71LS16471LS164 引脚图及引脚功能:引脚图及引脚功能: CLOCK :时钟输入端 CLEAR: 同步清除输入端(低电平有效) A,B :串行数据输入端 QAQH: 输出端 芜湖信息技术职业学院毕业论文(设计) 20 附总设计大致图:附总设计大致图: 七、主要仪器及其使用方法七、主要仪器及其使用方法 主要仪器有:稳定电源,剥线钳,数字万用表,数字通用版,电烙铁,镊子。 其中稳定电源由手机电池充电器供给。使用电烙铁时注意不要手直接触摸。 八、设计过程中的问题及解决方案八、设计过程中的问题及解决方案 1、用 PROTUES 软件仿真电

30、路。仿真数字电路时用 PROTEUS 软件将优于用 MULTISIM 软件,因为使用 PROTUES 软件可以直接观察每个引脚的电平,从而帮助 设计者更好观察芯片的状态,方便了电路的设计。 (1)合理使用芯片)合理使用芯片 PROTUES 软件中的芯片丰富,但是实验室不一定有仿真图使用过的芯片,所以设 计电路时要考虑实验室是否有芯片。 (2)元件的虚接)元件的虚接 芜湖信息技术职业学院毕业论文(设计) 21 有些器件的引脚是直接把其引脚放在线上,所以出现了显示灰色信号,开始一直 没有发现,其实表面上看上去已经接到电路上了,其实不然。连电路时必须把线路牢固 地接到芯片引脚上。 2、焊电路板过程中

31、的问题 (1)芯片的布局)芯片的布局 首先要考虑元器件布局的问题,很多同学仅仅只考虑了芯片摆放的美观性却忽略 了合理地摆放芯片的重要性。合理的摆放芯片其实比美观地摆放芯片更重要,因为合 理的布局能够大大减少电路板上飞线的长度。比如,译码器 74LS74 就最好摆在计数器 74ls164 的正上方,因为 74LS74 的 1、2、6、7 引脚就是和 74161 的 11、12、13、14 引脚相连,所以这些引脚靠的越近越好。 (2)合理利用万能版)合理利用万能版 要学会利用这块万能板的走线,比如板子过孔都是三个过孔相连的,把同一个节 点的所有连线均匀地分布在这三个相连的过孔上。仿真的时候我们可以

32、把很多线连载 一个小小的节点上,但是实际焊万能板的时候却不能这样,因为每个导线或者芯片的 引脚都是有一定体积的,焊接之前必须充分考虑到这一点。每隔留个过孔就又两行铜 线,只要对这些铜线稍加处理就能使它们变成电源线和地线。由于每块芯片都必须接 电源线和地线,所以好好利用者写平行的铜线同样能够起到减少飞线的效果。 (3)标记芯片管脚)标记芯片管脚 实际上在万能板上焊接东西是一个比较麻烦的也考验焊接者耐心的事情,但是我 们稍稍对板子做一些优化就能简化我们焊接的过程。比如固定好每块芯片的管座以后 在管脚旁边标好引脚的标号,这样能够帮助我们更加准确的把每根导线焊道它该焊到 的地方,同时也减少了我们看电路

33、图纸的频率,节约了时间,减小了错误焊接的概率。 (4)善用万用表)善用万用表 要学会使用万用表。万用表是一种功能非常强大仪器,我们可以利用它短路蜂鸣 器报警的功能测试焊点是否虚焊和短线是否短线。同时当我们不确定一个电阻和电容 的值的时候我们同样可以利用万用表把它的值检测出来。 (5)严格按照电路图标号接线)严格按照电路图标号接线 有的芯片比如与非门芯片、非门芯片等,一块芯片中就有多个逻辑门,很多同学 喜欢随意使用其中的逻辑门,而我想说的是我们一定要按照仿真图上面的标号选择逻 辑门。这样做是非常有道理的,因为数字电子课程设计用到了大量的数字芯片,自然 连线会非常多,我们很可能会不记得那根线连到了

34、芯片的那个引脚,一旦不记得了我 们得重新在密密麻麻的导线束中跟踪某跟导线的走势,这样做是非常消耗时间的。如 果严格按照仿真图的标号联线则不会出现上述问题。 九、结论与心得九、结论与心得 十、参考文献十、参考文献; 1、数字电路逻辑设计(第三版),王毓银主编,高等教育出版社 2、数字系统设计,高书莉主编,北京邮电大学出版社 3. 数字电路实验报告书,张亚婷编, 西安邮电学院 4. 数字电子技术,李中发主编, 中国水利水电出版社 芜湖信息技术职业学院毕业论文(设计) 22 5. 数字电子技术基础 (第四版)阎石主编 高等教育出版社出版 6. Protel DXP 电路设计教程 李东生等编 电子工业

35、出版社出版 7. TTL 高速 CMOS 手册/科林 孙人杰 编著 电子工业出版社出版 8. 74LS00、74LS04、74LS74、74LS153、74LS138、74LS160 NE555 等芯片数据手册 9. 电子实验与实践,付家才主编,高等教育出版社出版 指指 导导 教教 师师 评评 语语 芜湖信息技术职业学院毕业论文(设计) 23 指导教师针对论文的答辩意向: 参加答辩 不参加答辩 指导教师(签名): 年 月 日 毕业论文(设计)成绩毕业论文(设计)成绩 成绩等次优秀良好中等及格不及格 评定等次 芜湖信息技术职业学院毕业论文(设计) 24 毕业论文(设计)答辩情况 提问问题:提问问题: 1. 2. 3 对答辩学生回答的评语:对答辩学生回答的评语:

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 其他


经营许可证编号:宁ICP备18001539号-1