名词概念解释.pdf

上传人:tbuqq 文档编号:5344896 上传时间:2020-04-21 格式:PDF 页数:10 大小:91.62KB
返回 下载 相关 举报
名词概念解释.pdf_第1页
第1页 / 共10页
名词概念解释.pdf_第2页
第2页 / 共10页
名词概念解释.pdf_第3页
第3页 / 共10页
名词概念解释.pdf_第4页
第4页 / 共10页
名词概念解释.pdf_第5页
第5页 / 共10页
点击查看更多>>
资源描述

《名词概念解释.pdf》由会员分享,可在线阅读,更多相关《名词概念解释.pdf(10页珍藏版)》请在三一文库上搜索。

1、1、名词概念解释: (1) ASIC:Application Specific Intergrated Circuits)即专用集成电路,是指应特定用户要求和特定电子系统的 需要而设计、制造的集成电路。 (2) FPGA:FieldProgram mable Gate Array) ,即现场可编程门阵列,它是在PAL、GAL 、CPLD 等可 编程器 件的基础上进一步发展的产物。 (3) SOC::System on Chip 的缩写,称为芯片级系统,也有称片上系统 ,意指它是一个产品,是一个有专用 目标的集成电路,其中包含完整系统并有嵌入软件 的全部内容。 (4) SOPC:System-o

2、n-a-Programmable-Chip ,即可 编程片上系统 。 用可编程逻辑技术把整个系统放到一块硅 片上,称作SOPC。 (5) NIOS II:Altera 推出的支持使用专用指令的 32 位 RSIC 嵌入式处理器 (6) I/O:I/O 是 input/output 的缩写,即输入输出端口。每个设备都会有一个专用的I/O 地址,用来处理自 己的输入输出信息 (7) IP: IP 是英文 Internet Protocol(网络之间互连的协议)的缩写,中文简称为“ 网协 ” ,也就是为计算机 网络相互连接进行通信而设计的协议。 (8) VHDL:是一种用于电路设计的高级语言,标准硬

3、件描述语言。 (9) verilog HDL:Verilog HDL 是一种硬件描述语言(HDL:Hardware Discription Language ) ,是一种以 文本 形式 来描述 数字系统 硬件的结构和行为的语言,用它可以表示逻辑电路图、逻辑表达式 ,还可以表示数 字逻辑系统所完成的逻辑功能。 (10)HDL:HDL(Hardware Description Language) ,是硬件描述语言。顾名思义, 硬件描述语言就是指对硬件电 路进行行为描述、寄存器传输描述或者结构化描述的一种新兴语言。 (11)EDA:EDA 是电子设计自动化(Electronic Design Aut

4、omation )的缩写,一种使开发人应尝试将整个设计 过程自动化的技术。 (12)功能仿真 :又称为前仿真(Pre-layout Simulation ) ,目的是分析电路的逻辑关系的正确性。 (13)后仿真 :“ 后仿真 ” 指的是版图设计完成以后,将寄生参数、互连延迟反标到所提取的电路网表中进行仿 真,对电路进行分析,确保电路符合设计要求 (14)设计综合 : 将设计的电路由高层次描述转述成优化的门级网表的过程。 (15)设计验证 : 对电路(或系统)进行检查,以确定该电路(或系统)达到了规定的要求。嵌入式系统 的定义: 以应用为中心、以计算机技术为基础、软硬件可裁剪、适应应用系统对功能

5、、可靠性、成 本、体积、功耗等严格要求的专用计算机系统。 (16)嵌入式系统的组成: 嵌入式系统主要由嵌入式处理器、外围设备、嵌入式操作系统及应用软件等组成,它是集 软硬件于一体的可独立工作的“器件”。其中:嵌入式处理器是嵌入式系统的核心部件, 具有小型化、高效率、高可靠性、高集成度等特点。外围设备是嵌入式系统中用于完成存 储、通信、调试、显示等辅助功能的部件。 2、填空题 (1) NiosII处理器有三种运行模式: _,_,_。 (2) CycloneII FPGA支持串行配置器件的isp编程,该特性是通过_和 _利用 JTAG接口实现的。 (3) 在 SOPC Builder 中,复位地址

6、的偏移量是_,异常地址的偏移量是 _。 (4) 在 NiosII的多处理器系统中,最常用的共享资源是_。 (5) 根据Flash是否支持处理器的直接读操作,NiosII处理的bootloader分成两种模式: _、_。 (6) 用 uC/OS-II 操作系统实现以太网与轻量IP 功能的时候,以太网的中断号至少是_。 (7) Altera公司的 FPGA 常用的配置方式: _、_,_。 (8) CycloneII FPGA 上面集成的 Block RAM 为 M4K ,一个 M4K的大小是 _ 。 (9)使用 QuartusII进行 FPGA 设计的开发流程是:设计输入、 _、_、仿真、 _。

7、(10)NiosII IDE 为软件开发提供了4 个主要功能:工程管理器、编辑器和编译器、调试器,_ _。 (11)SOPC 组件 On-chip Memory可以用作 RAM 外,还可以设置成 _,甚至可以设置成双口存 取。 (12)CycloneII EP2C35 器件包含 4 个 PLL,每个 PLL均有_个输出。其中第 _个输出的驱 动能力最强。 (13)基于微电子设计的电路通常包含有:组合逻辑电路和_逻辑电路。 (14)基于微电子设计的电路通常包含有:_电路和时序逻辑电路。 (15)SOC是 _的缩写, EDA是_的缩写。 (16)电子系统设计主要有 _和硬件描述语言设计方式,前者比

8、较直观,形象,但 通用可移植性弱; 后者利用文本的形式描述和设计电路,常用的两种硬件描述语言是_ _ 和_ _。 (17)现在集成电路设计主要采用硬件描述语言来描述自己的设计,然后利用 EDA工具进行仿真和综 合,转换成某种目标文件,然后利用ASIC 实现。请问综合的主要作用是_。 (18)在基于 EDA设计的技术中, 有两种基本设计思路, 一种是自顶向下的设计思路,一种是 _的设计思路。 (19)在过去的几十年中, 数字电路设计技术发展迅速,经历了 _、_,_,_, 直到今天的SOC ,我们可以把一个 完整的系统集成在一个芯片上。 (20)设计仿真具体分为 _和_,前者对电路的 RTL模型的

9、 仿真,不考虑信号的时延关系, 而后者是对综合或布局布线的网表进行的仿真,考虑信号的时 延关系。 3、选择题 (1) 基于 FPGA 的嵌入式系统,下面说法正确的是(D) A只有运行 NiosII软核的 FPGA 系统才是嵌入式系统 B基于 FPGA 的嵌入式系统是在FPGA 中运行可配置的软核 C基于 FPGA 的嵌入式系统主要特点是运行速度比其他嵌入式系统要快 D基于 FPGA 的嵌入式系统是 FPGA 最主要的应用方向 (2) 对于 FPGA 芯片来讲,下列说法错误的是: (C) AFPGA 是现场可编程逻辑器件的缩写 BFPGA 的内部可以集成 DSP 、PowerPC 等模块 CFP

10、GA 是非易失性器件 DFPGA 的内部逻辑可以反复修改 (3) 下列不属于 FPGA 片内资源的是哪个?(C) A. PLL(锁相环)B. LUT(查找表) C. NiosII软核处理器 D. DSP处理模块 (4)下列关于 SOPC 的说法正确的是:(A) A. SOPC 系统可以对其结构进行修改,即可以说SOPC 是永不过时的嵌入式系统。 B. NiosII是一种软核处理器,故可以任意修改其内部结构。 C. NiosII可以脱离 FPGA 芯片单独运行。 D. SOPC 系统具有体积小、快速灵活、低功耗等优点。 (5)下列可综合的 Verilog HDL语句是: (A) A. != B.

11、 task C. initial D. #delay (6) 下列 Verilog HDL表达式中正确的是:(B) A. 4b001 1 = 5b00010; B. !4b1011| ! 4b0000= 1b1 C. 4b1010 A. a 是顶层模块, code1 是底层模块。 B. b 是顶层模块, k1 是底层模块。 C. Module1 是底层模块, Module2 是顶层模块。 D. Module2的端口可以用 reg 类型定义 (23) 下列不属于软核处理器的是: (D) ALeon3 B. OpenRisc1200 C. Microblaze D. MIPS (24)关于 Sys

12、temC和 SystemVerilog的说法正确的是(C) ASystemC适合顶层建模, SystemVerilog适合验证 BSystemVerilog 适合顶层建模, SystemC适合验证 CSystemVerilog 是 Verilog的升级版本 DSystemC可以直接转换为 RTL代码 4、 判断题 (在题后括号内填入: / ) (1) NiosII处理器是可以配置成16位或 32 位的处理器。( F ) (2) Avalon 接口是一个同步协议的接口,所以Avalon 总线不能与异步设备连接。 (F ) (3) 在 SOPC 设计中, SDRAM 控制器核与 SDRAM 芯片之

13、间需要 PLL调整时钟相位。( T) (4) ANSI C 数据类型不能明确地定义数据的宽度。 ( T) (5) 在 SOPC Builder 中 Auto-Assign IRQs能做出最好的 IRQ分配。 (F ) (6) 在设计时可以将 NiosII程序和 FPGA 配置数据同时存放在同一个Flash 中, 这就需要一个配置 控制器来驱动 Flash 输出配置数据以完成FPGA 的配置。 ( T) (7) 在 SOPC Builder 中定义 CPU 的复位地址在 Flash ,而在 NiosII IDE中程序被连接到 Flash 之 外的存储器,那么elf2flash实用程序将在用户程序

14、前插入一个Boot-copier。 (T ) (8) NiosII的定时器计数模式有两种,一种是单次减1,另外一种是连续减1。 ( T) (9) 通常处理器的异常地址都是固定的,但是NiosII处理器的异常地址是可以配置的。 (T) (10)NiosII IDE不能使用 asm/C/C+ 混合编程。(F) (11)NiosII系统结构中有 32个 32 位的通用寄存器, 8 个 32 位控制寄存器。(F) (12)Avalon 接口是一个同步协议的接口。 (T) (13)在较高频率下 SDRAM 控制器核与 SDRAM 芯片之间需要 PLL调整时钟相位。(T) (14)NiosII的定时器控制

15、器的特性之一是具有增1、减 1 两种计数模式。(F) (15)在 QuartusII编译之前,对FPGA未使用的引脚一般要设置成As input tri-stated。 (T) (16)Flash的数据总线是三态的,NiosII CPU与 Flash相连接时需要Avalon 三态总线桥。 (T ) (17)在 SOPC Builder中定义 CPU 的复位地址在 Flash , 而在 NiosII IDE 中用户程序被连接 Flash 之外的地址,那么 elf2flash实用程序将在用户程序前插入一个Boot-copier 。 (T) (18)对于 SDRAM 控制器的数据引脚,可以与OUTP

16、UT 属性的引脚相连,也可以与BIDIR 属性的引脚 相连。 (F) (19)SOPC Builder提供了一个组件编辑器,一个典型的组件主要有三部分组成:硬件文件、软件 文件和组件描述文件三部分组成。 (T) (20)system.h 头文件对 SOPC 硬件进行了软件的描述。 (T) (21)组合逻辑的输出不受输入信号的变化而变化。( F ) (22)ASIC比 FPGA 的设计流程长,但却有批量的价格优势。 ( F 流程短 ) (23)在 verilog语言电路设计中,若某信号定义为 reg 变量, 哪么它一定是一个触发器的输出信号。 (F寄存器) (24)在仿真电路中, _timesc

17、ale 1us/1ns 伪指令定义了仿真电路的时间单位是1us 。 (T 时 延单位为1us, 时延精度为1ns) (25)在 verilog语言电路设计中, always 语句块不可以描述组合电路,因为always 语句块中的输 出信号必须定义为reg 变量。 ( F ) (26)在 verilog电路设计中,如果一个reg 类型变量,在多个always 语句块中被赋值,如果仿真 时没有发现设计有问题,那么逻辑综合也就不会出问题。(F ) (27)在 Verilog电路设计中要避免使用循环语句,因为这些语句是不可逻辑综合的。(F ) (28)在数字电路设计中, 不要用多级逻辑产生的时钟,这样

18、的时钟容易有毛刺, 导致存储元件不能 正确锁存数据。( T ) 5、问答题 / 论述题 EDA技术的含义和内容是什么? 答:电子设计自动化 ( 1 ) 实现载体大规模可编程逻辑器件可编程逻辑器件(简称PLD)是一种由用户编程以实 现某种逻辑功能的新型逻辑器件。 (2) 表达方式硬件描述语言(标准化高)VHDL 、Verilog HDL 、ABEL (3) EDA 软件开发工具 (4)硬件下载验证 比较电子系统传统设计方法和采用EDA技术设计方法的区别。 答: 传统方法 (1).从下至上 (2).通用的逻辑元、器件 (3)系统硬件设计的后期进行仿真和调试 (4).主要设计文件是电原理图 EDA

19、方法 1. 自上至下 2.可编程逻辑器件 3.系统设计的早期进行仿真和修改 4 多种设计文件,发展趋势以HDL 描述文件为主 5.降低硬件电路设计难度 EDA技术有哪些突出的优点? 答: 1 采用自顶向下的方法 2 采用系统早期仿真 3 多种设计描述方式 4 高度集成化的 eda 开发系统 5 PLD在系统编程能力 6 可实现单片系统集成减少产品体积重量减低成本 7 提高产品可靠性 8提高保密性和竞争能力 9 降低产品功耗提高电子产品的工作速度 你认为 EDA技术的核心是什么?请详细说明理由。 答:逻辑综合是EDA 技术的核心,它是将高层次描述自动转换为低层次描述的过程。可与 FPGA/CPL

20、D 或构成 ASIC 的门阵列基本结构相映射的网表文件, 综合后的结果可以为硬件系统 所接受。 一个电子系统可由单片机技术实现,也可由EDA技术实现,请比较两种方案各自的特点 答: EDA 是用以描述各类硬件的就像可以描述单片机也可以描述译码器计数器等,它的造价较高但可 以实现许多硬件的仿真,但因此实用性就低了。而单片机则专门用于控制,相对造价便宜程序简单,所 以一般的电子系统不使用EDA 。 Altera器件有哪些类型?各自特点是什么? Cyclone II系列的 FPGA 器件的主要组成部分是什么? FPGA芯片中的 LE、LUT代表什么含义,其作 用是什么? 答:Cyclone II 器

21、件容量有 460868416 个逻辑单元,还具有新的增强特性,包括多达1.1Mbit 的嵌入存储器、多达 150 个嵌入 18 18 乘法器、锁相环、支持外部存储器接口及差分和单端I/O 标准 ISP 有什么意义? CPLD 和 FPGA 有什么差异?在实际应用中各有什么特点? 答:一 . 结构上的不同 : FPGA : (现场可编程门阵列 ) 1) 内部互联结构由多种长度的连线资源组成,每次布线的延迟可不同,属统计型结构; 2) 逻辑单元主体由静态存储器(SRAM) 构成的函数发生器 (即查找表 ), 通过查找表可实 现逻辑函数功能 ; 3) 采用 SRAM 工艺,含查找表逻辑单元 . CP

22、LD :复杂可编程逻辑器件 1) 内部互联结构由固定长度的连线资源组成,布线的延迟确定, 属定型结构 ; 2 ) (实现逻辑功能的基本单元不同) CPLD 由与或阵列组成 , FPGA:FPGA 查找表 . 3) 采用 EEPRO工艺 任意一个逻辑组合都可以用”与-或”表达式来描述,能实现大量的逻辑功能 . 二.集成度不同 CPLD EEPROM 50050 000门(颗粒大,容量有限。 ) FPGA SRAM 1 K -1 千万门 ( 颗粒小,容量较大,目前可达上几千万门) 三使用范围不同 : CPLD 逻辑能力强 , 而寄存器少 (1K 左右), 适用于控制密集型系统, FPGA 逻辑能力

23、较弱 , 但寄存器多 ( 100K) ,适用于数据密集型系统 ; 四. 使用方法的不同 ( 生产工艺不同 ) FPGA 采用 RAM 工艺;需用专门的 ROM 进行数据配置 . CPLD 采用 CMOS EEPROM 工艺,可电擦除、可重复编程。 (1) 可编程器件是如何分类的? (2) Altera新型系列可编程器件的内部结构有哪些变化? (3) 如何评价器件内部的大容量存储器和DSP块? (4) 有哪些器件系列支持Nios嵌入式处理器? (5) Quartus 软件有哪些主要的设计特性? (6) Quartus 软件有几种设计流程,各流程之间的关系如何? (7) Quartus 软件有几种

24、设计输入方法?如何生成自己的功能模块? (8) 在全编译过程中,各功能模块有哪些设置特点?如何从编译报告中查看设计性能? (9) 功能仿真与时序仿真有什么区别?如何正确查看这两种仿真结果的波形? (10)在 Quartus 软件中如何进行设计的引脚分配? (11)如何选择编程硬件?如何改变器件的编程模式? (12)结合第 2 章内容考虑, Quartus 软件是如何实现与第三方EDA 工具接口的? (13)当在 ModelSim软件中进行设计仿真时, 为什么不能直接在Windows资源管理器中建立设 计仿真库,而必须在ModelSim中使用菜单操作或使用vlib 、vmap命令完成? (14)

25、如何在 ModelSim软件中设置 Altera仿真库? (15)在 Quartus 软件中如何设置后台调用第三方综合、仿真工具? (16)比较说明 Nios 相对第一代 Nios 有哪些特点和优势? (17)简述 Nios 嵌入式系统的开发流程。 (18)什么是 SOPC 技术?它的基本特征有哪些? (19)SOPC Builder 有哪些功能特点? (20)查看所安装的 SOPC Builder 中的模块池,都有哪些可供用户调用的模块? (21)什么是 LogicLock 技术,在设计中为什么要使用LogicLock 技术? (22)LogicLock 区域有几种类型,各有什么特点? (2

26、3)如何导出 LogicLock 区域约束?为什么要反向标注LogicLock 区域? (24)在反向标注 LogicLock 区域布线信息时应注意什么? (25)为什么要使用 SignalTap 嵌入式逻辑分析仪? (26)结合实际逻辑分析仪功能,说明SignalTap 嵌入式逻辑分析仪的设置过程。 (27)简述 FPGA 稳定性设计原则。 (28)请以自己的语言描述什么是SOPC ? 一般 SOPC 系统应具有哪些基本组件? (29)请描述一下你对IP 核的理解以及其类型,并简要给出各类型的定义和特点。 (30)请简要写出 SOPC 的设计流程,同时以数字钟为例,画出设计流程图。 (31)

27、请简要描述用户自定义IP 核的设计步骤。 (32)SOPC 构建系统,当添加某一外设时,此时外设相当于从设备,描述一些常用从设备与主 机之间的接口信号类型及其宽度和方向。 (33)简述 SOPC 开发流程和对 SOPC 的理解? (34)简述 IP 核复用的好处。 (35)硬核和软核的区别。 (36)Moore和 Mealy 状态机的异同? (37)如何理解可编程逻辑设计的面积和速度平衡与互换原则? (38)什么是同步设计,什么是异步设计?FPGA 设计中为什么遵循同步设计原则? (39)Verilog HDL设计中阻塞赋值和非阻塞赋值有什么区别?举例说明。 (40)简述 FPGA 设计中毛刺

28、产生的条件及消除毛刺的简单方法。 6、综合设计题 (1) 在 Verilog HDL中如何定义 inout型的接口? (2) 系统的时钟输入是50MHz ,请用 Verilog 设计一个分频器得到10Hz的时钟输出。 (3) 说明扫描矩阵键盘的工作原理,用Verilog编写去除按键抖动的代码? (提示:认为只有一次按下是键盘抖动,三次按下才是有按键输入) (4) 用 Verilog编写 8bit并行输入 1bit串行输出的接口转换模块。 (提示:注意输入和输出的时钟) (5) 用 Verilog编写简单的 I2C 总线控制器,用来向从设备中的某个寄存器写入一个确定的值。 (提 示:假设 I2C

29、 总线从设备的地址为0x90,向 0xAA寄存器写入 0x55) (6) 下图所示为电子钟原理框图,按照下面的步骤完成各部分功能的逻辑设计。 使用原理图输入方法完成模60 和模 12 计数器设计。 使用硬件描述语言 (如 AHDL) 完成分频器、七段译码器的设计。 使用功能仿真单独仿真验证各模块的功能。 产生顶层模块,完成电子钟的顶层设计。 选择目标器件,编译顶层设计,在编译报告中查看时序分析结果和器件资源使用情况。 在分配编辑器中完成引脚分配。 (7) 根据下图的原理框图和时序波形设计一个简易自动频率测试系统。 7、综合试验题(以 Nios Cyclone 开发板为平台建立相应系统,完成以下

30、试验) (1)模拟对十字路口交通灯的控制。 目的:了解在 Nios 环境下编程的基本方法并实现对外围器件数码管和LED的编程控制。 要求:在 Nios IDE 环境下编程模拟对十字路口交通灯的控制。要求依次点亮红灯(LED1)30 秒,黄灯 (LED2)10 秒,绿灯 (LED3)60 秒,并在七段数码管上显示秒数倒计时。 注意:数码管显示字型代码为: 0x81, 0xCF, 0x92, 0x86, 0xCC, 0xA4, 0xA0, 0x8F, 0x80, 0x84 /* 0-9 */ 0x88, 0xE0, 0xF2, 0xC2, 0xB0, 0xB8 /* a-f */ (2)实现 LCD的字符滚动显示。 目的:了解在 Nios 环境下编程的基本方法,实现对外围器件LCD的编程控制。 要求:在 Nios IDE 环境下编程实现LCD显示滚动的字符效果。 (3)编程实现串口通信。 目的:了解在 Nios 环境下编程的基本方法,实现对串口的编程控制。 要求:在 Nios IDE 环境下编程实现串口的收发通信。Nios 通过串口向主机发送字符串, 主机接受并显示出来;主机通过串口向Nios 发送字符串, Nios 接受字符串并在 LCD上显示。

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 其他


经营许可证编号:宁ICP备18001539号-1