5B6B线路码编译码的电路设计资料.pdf

上传人:白大夫 文档编号:5402375 上传时间:2020-05-01 格式:PDF 页数:27 大小:834.93KB
返回 下载 相关 举报
5B6B线路码编译码的电路设计资料.pdf_第1页
第1页 / 共27页
5B6B线路码编译码的电路设计资料.pdf_第2页
第2页 / 共27页
5B6B线路码编译码的电路设计资料.pdf_第3页
第3页 / 共27页
5B6B线路码编译码的电路设计资料.pdf_第4页
第4页 / 共27页
5B6B线路码编译码的电路设计资料.pdf_第5页
第5页 / 共27页
点击查看更多>>
资源描述

《5B6B线路码编译码的电路设计资料.pdf》由会员分享,可在线阅读,更多相关《5B6B线路码编译码的电路设计资料.pdf(27页珍藏版)》请在三一文库上搜索。

1、1 * 实践教学 * 兰州理工大学 计算机与通信学院 2015 年秋季学期 通信系统综合训练 题目: 5B6B 线路码的电路设计 专业班级:通信工程( 1)班 姓名: 学号: 指导教师: 成绩: 2 摘要 在光纤通信系统中, 选取适当的码型对提高系统的可靠性,实现线路的高速、低误码率、 最大容量传输具有重要意义。 5B6B 码是 mBnB 线路码型中的一种,具有最大相同码元连码 数少、定时信息丰富、匀衡性好、误码监视、同步性好等优点。 5B6B 编码是将 5B 码组按照一定的规律,编成6B 码组后输出;而译码则是将传输到接 收端机的 6B 数据码流,译成 5B 数据码流输出,二者互为逆过程。5

2、B6B 编码和译码有许多 种设计方法, 本文采用的是基于码表的编译码电路设计,结合 Quartus II 软件写出编译码过程 从具有相应功能的程序,封装成模块,最后集成完整的编译码电路,并且对每个模块及编译 码电路进行波形仿真,检验设计的正确性。 关键字: 5B6B 线路码、编译码、分频、封装 3 目录 前言 - 4 一、光纤通信系统 - 5 1.1 光纤通信系统基本构成- 5 1.2 数字光纤通信系统 - 6 1.3 光纤通信技术的特点 - 6 二、 光纤通信中的线路编码 - 7 2.1 线路扰码 - 7 2.2 mBnB 码 - 7 2.3 插入码 - 7 三、 设计平台( Quartus

3、 II 软件) - 8 3.1 Quartus II 软件介绍 - 8 3.2 Quartus II 系统开发流程 - 8 四、系统设计 - 10 4.1 5B6B 码表设计 - 10 4.2 编码电路设计 - 10 4.2.1 编码原理 - 10 4.2.2 各模块的设计及仿真 - 11 4.2.3 编码电路设计与仿真 - 13 4.3 译码电路设计 - 15 4.3.1 译码原理 - 15 4.3.2 各模块的设计及仿真 - 15 4.2.3 译码电路设计与仿真 - 18 总结 - 20 参考文献 - 21 附录:编译码各模块的程序 - 22 4 前言 mBnB 码是把输入的二进制原始码流

4、进行分组,每组有m 个二进制码,记为mB,称为 一个码字,然后把一个码字变换为n 个二进制码,记为 nB,并在同一个时隙内输出。这种码 型是把 mB 变换为 nB, 所以称为 mBnB 码, 其中 m 和 n 都是正整数, nm, 一般选取 n=m+1。 mBnB 码有 1B2B、3B4B、5B6B、 8B9B、 17B18B 等等。 5B6B 码是 mBnB 线路码型中最 常见的一种 ,具有最大相同码元连码数少、定时信息丰富、匀衡性好、误码监视、同步性好等 优点。 5B6B 编码是将自编数据按照一定的规律,编成6B 码组后输出;而译码则是将传输到接 收端机的 6B 数据码流,译成 5B 数据

5、码流输出,二者互为逆过程。5B6B 编码和译码有许多 种设计方法,其中主要有基于集成电路工艺ASIC(专用集成电路)和基于码表设计。 本文运用 Quartus II 软件,采用基于码表设计的方法对5B6B 码进行编码和译码。 其中编 码由分频模块、 编码模块、五位串转换模块及六位并串转换模块构成;译码部分由译码模块、 分频模块、六位串及五位并转换模块构成。 本文着重介绍了编码译码的工作原理以及各模块的封装,并对程序和电路编译码进行仿 真,最终得出正确的结果。以实现5B6B线路码的编码和译码在理论上的功能。 5 一、光纤通信系统 随着科学技术发展 ,世界正走向信息时代,人们需要更宽带、更高速的大

6、容量通信方式, 并要求这种通信方式的传输成本不断降低。光纤通信则是实现这一目标的最理想手段,成为 构筑信息化时代信息传输网的主要通信方式。光缆是通信网络的主流传输媒介,这是由光纤 本身的良好特性所决定的。光纤通信是以激光为信息载体,以光纤为传输介质的通信方式。 1.1 光纤通信系统基本构成 最基本的光纤通信系统由数据源、光发送端、光学信道和光接收端组成。其中数据源包 括所有的信号源,它们是话音、图象、数据等业务经过信源编码所得到的信号;光发送端和 负责将信号转变成适合于在光纤上传输的光信号;光学信道包括最基本的光纤,还有中继放 大器 EDFA 等;而光学接收端则接收光信号,并从中提取信息,然后

7、转变成电信号,最后得 到对应的话音、图象、数据等信息。 图 1-1 光纤通信系统的组成 (1)光发信机 光发信机是实现电 / 光转换的光端机。它由光源、 驱动器和调制器组成。其功能是将来自 于电端机的电信号对光源发出的光波进行调制,成为已调光波,然后再将已调的光信号耦合 到光纤或光缆去传输。电端机就是常规的电子通信设备。 (2)光收信机 光收信机是实现光 / 电转换的光端机。它由光检测器和光放大器组成。其功能是将光纤 或光缆传输来的光信号,经光检测器转变为电信号,然后,再将这微弱的电信号经放大电路 放大到足够的电平,送到接收端的电端汲去。 (3)光纤或光缆 光纤或光缆构成光的传输通路。其功能是

8、将发信端发出的已调光信号,经过光纤或光缆 的远距离传输后,耦合到收信端的光检测器上去,完成传送信息任务。 (4)中继器 中继器由光检测器、光源和判决再生电路组成。它的作用有两个:一个是补偿光信号在 6 光纤中传输时受到的衰减;另一个是对波形失真的脉冲进行整形。 (5)光纤连接器、耦合器等无源器件 由于光纤或光缆的长度受光纤拉制工艺和光缆施工条件的限制,且光纤的拉制长度也是 有限度的(如 1Km) 。因此一条光纤线路可能存在多根光纤相连接的问题。于是,光纤间的连 接、光纤与光端机的连接及耦合,对光纤连接器、耦合器等无源器件的使用是必不可少的。 1.2数字光纤通信系统 光纤传输系统是数字通信的理想

9、通道。与模拟通信相比较,数字通信有很多的优点,灵 敏度高、传输质量好。因此,大容量长距离的光纤通信系统大多采用数字传输方式。在光纤 通信系统中, 光纤中传输的是二进制光脉冲“0“码和“1“码,它由二进制数字信号对光源进行通 断调制而产生。而数字信号是对连续变化的模拟信号进行抽样、量化和编码产生的,称为 PCM,即脉冲编码调制。这种电的数字信号称为数字基带信号,由PCM 电端机产生。 1.3光纤通信技术的特点 (1)频带极宽 ,通信容量大。光纤比铜线或电缆有大得多的传输带宽,光纤通信系统的于 光源的调制特性、调制方式和光纤的色散特性。 (2)损耗低 ,中继距离长。目前 ,商品石英光纤损耗可低于0

10、20dB/km,这样的传输损耗比 其它任何传输介质的损耗都低;若将来采用非石英系统极低损耗光纤,其理论分析损耗可下降 的更低。这意味着通过光纤通信系统可以跨越更大的无中继距离;对于一个长途传输线路 ,由于 中继站数目的减少 ,系统成本和复杂性可大大降低。 (3)抗电磁干扰能力强。 光纤是由石英制成的绝缘体材料,不易被腐蚀,而且绝缘性好。 (4)无串音干扰 ,保密性好。在电波传输的过程中,电磁波的泄漏会造成各传输通道的 串扰,而容易被窃听,保密性差。光波在光纤中传输,因为光信号被完善地限制在光波导结构 中,而任何泄漏的射线都被环绕光纤的不透明包皮所吸收,即使在转弯处 ,漏出的光波也十分微 弱,即

11、使光缆内光纤总数很多,相邻信道也不会出现串音干扰,同时在光缆外面,也无法窃听 到光纤中传输的信息。 除以上特点之外 ,还有光纤径细、重量轻、柔软、易于铺设;光纤的原材料资源丰富,成本 低;温度稳定性好、 寿命长。由于光纤通信具有以上的独特优点,其不仅可以应用在通信的主干 线路中 ,还可以应用在电力通信控制系统中,进行工业监测、控制 ,而且在军事领域的用途也越 来越为广泛。 7 二、 光纤通信中的线路编码 信号在光纤中传输时,需将简单的二进制信号变换成适合光纤传输系统的光线路码型。 而线路码型有多种, 在选择线路码时不仅要考虑光纤的传输特性,还要考虑光电器件的特性。 在光纤通信系统中,常用的线路

12、码型有:扰码,mBnB 码以及插入码。 2.1线路扰码 线路扰码就是对输入的二进制序列进行转换,这样这个二进制序列就会接近随机的序列。 然后再接收端再将扰码解扰还原,因此保证了信息传输的透明度。在系统光发射机的调制器 前面可以增加一个扰码器,这样做的目的是保证传输的透明性,以此来将原始的二进制码序 列进行一些简单的变换,让其基本上接近于随机序列。如: 扰码前序列: 1100000011000 扰码后序列: 1101110110011 m 序列可以用来实现扰码器, 在发射端产生 m 序列,然后将 m 序列与信息序列做模二加 的运算,这样输出来的就是经过加扰的随机序列了。解扰器在使用相同的扰码序列

13、与接收到 的序列做模二加运算,用来恢复原始的信息。扰码也有它的一些缺点:不能控制连续码的出 现;不能在线误码检测;不能够解决随机波动。 2.2 mBnB码 该码型又称为块码、分组码以及字母平衡型码等等。在一般情况下都是nm。将输入的 二进制码每 mB 分成一组作为一个码字,将输入的码字在相同的时间间隔内,根据一定的编 码规则,将其变换成nB 一组的新码字,最后以不归零或归零格式传输这些新码流,此新码 就是 mBmB 码。m,n 都为正整数,且多数用n=m+1 这种形式,经过一些变换,线路码速率 就会相比原二进制码率提高了n/m 倍。常见的有 1B2B,2B3B,3B4B,4B5B,5B6B 码

14、,8B9B 等,其中 5B6B 码在高次群光纤通信系统中用得比较频繁。 mBnB 码的编码原理以最简单的1B2B 码 (曼彻斯特码)即将原码中的“0” 码变换为“01” 码,将“ 1”码变成“ 10”码。 2.3插入码 插入码是将原始输入的二进制码按照每m 比特一组进行分组操作, 然后在每组码的后面 插入一个码字,组成一组新的线路码型长度为m+1,插入码根据其插入码字的规律不同可以 分为以下几种码型: mB1C 码、mB1H 码以及 mB1P 码。 8 三、 设计平台( Quartus II 软件) 图 3-1 Quartus II 软件运行窗口 3.1 Quartus II 软件介绍 Qua

15、rtus II 是 Altera 公司的综合性 PLD/FPGA 开发软件该软件。该界面友好,使用便捷, 功能强大, 是一个完全集成化的可编程逻辑设计环境,是先进的 EDA 工具软件。 它具有开放 性、与结构无关、多平台、完全集成化、丰富的设计库、模块化工具等特点,支持原理图、 VHDL 、VerilogHDL 以及 AHDL (Altera Hardware Description Language )等多种设计输入形 式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD 设计流程。 Quartus II 是适合单芯片可编程系统(SOPC)的最全面的设计环境。 Quart

16、us II 软件所支 持的器件有很多,如 max3000A、 max 7000B、 max 7000S、 max7000AE、 max2、 mercury、 cyclone、 cyclone 2、stratix2、stratix GX 、flex6000、flex10K、flex10KA 以及 flex0KE 等。该软件包的 编程器可以提供功能强大的设计处理,因为它是整个系统的主要部分,设计者可以通过增加 一定的约束条件来提高芯片的利用率。Quautus2软件有它自带的仿真器与综合器,这些可以 用来完成从设计输入到硬件配置的整个设计过程。它可以支持altera的 ip 核,并且含有一些 宏功能

17、模块库。 3.2 Quartus II 系统开发流程 (1)创建工程文件 9 在 Quartus II 软件上创建一个新的工程。 所谓工程就是你的设计的描述、设置、数据以及 输出的整个集合,此软件会将这些存储在不同类型文件集中放在同一个文件夹下,所以要创 建一个工程。创建一个新的工程步骤如下: 打开 Quartus II 软件,点击“ File”,选择“ New Project Wizard”; 在新弹出的窗口中分别键入新工程的工作路径、项目名称以及实体名称,且项目名称 和实体名称要一致; 用鼠标点击下方的“ finish”按钮即可完成对新工程的创建。 (2)设计输入 在设计输入之前还有重要的

18、一步是电路的设计部分,在整个系统的设计之前首先必须进 行的是方案的论证、系统的设计以及FPGA 芯片的选择等等。一般来说采用的设计方案都是 自顶向下的,即将整个系统划分为若干个小模块,然后再每个模块划分为下一个层次的小模 块,直到直接可以调用元件库里的元件。 (3)设计项目编译 打开“Processing ”菜单,点击“ Start Compilation”即可执行项目的编译功能,编译器将 运行预先指定的各个模块的功能。编译成功后,编译器将会产生相应的输出文件。如果有错 误出现,编译器将停止编译,并会相应的给出错误的信息栏,用鼠标点击“error”的信息条, 一般下可以指出错误的地方,以便修改

19、,直至所有的错误均消除后,系统就会弹出编译成功 的窗口。 (4)项目的仿真 仿真检查综合结果是否与原先要设计的项目功能是否一致。项目的编译成功,需要做的 就是对每个模块的功能进行仿真测试,来查看设计的结果是否满足原先设计的基本要求。仿 真分为功能仿真、时序仿真以及波形仿真。功能仿真仅仅测试设计的逻辑功能;而时序仿真 首先要测试逻辑的功能,而且还要测试有关设计的一些目标器件;波形仿真是在波形编辑器 中将设计的逻辑功能用波形图的形式显示,通过查看波形图来检查设计的逻辑功能是否符合 设计的要求。波形仿真的步骤包括新建波形文件、设置波形仿真器、插入仿真节点、编辑输 入波形、运行仿真器、检查输出波形是否

20、符合设计要求等。 10 四、系统设计 4.1 5B6B码表设计 码表设计的原则是:( 1)“0”码和“ 1”码出现的概率相等;(2)减小最大同符号连 续数的数目;( 3)选取游程差值 D 值小的码组。 为了达到以上设计目标,引入模式概念。6B 码有模式 1 和模式 2。定义模式 1 为正,模 式 2 为负;模式 1 和模式 2 交替出现。设计的码表为: 表 4-1 5B6B 码表 4.2 编码电路设计 4.2.1 编码原理 5B6B 编码电路模型如下图,包括时钟控制模块、串并转换模块、存储器模块、并串转换 模块等组成。 11 图 4-1 编码部分系统框图 编码电路原理是一个本地振荡器产生一个标

21、准时钟,有频变电路产生的时钟用于编码电 路工作的输出电路的时钟,他们之间的关系是缓冲电路接收5 位输入码存储为一个编码器, 这个编码器电路中,根据5B6B 码表输出 6 位代码。再将这6 位码在时钟脉冲的驱动下在进 行并串转换来输出。 4.2.2 各模块的设计及仿真 (1)时钟控制模块(分频模块) 该模块主要是实现一个时钟分频的功能。本设计需要用到一个五分频和一个六分频分别 为后面五位串并转换模块和六位并串转换模块提供时钟,通过Quartus II 封装后的图形如下: clkclk5 cnt5 inst 图 4-2 五分频器模块 clkclk6 CNT6 inst 图 4-3 六分频器模块 设

22、置好相应的输入后分频模块的仿真波形如下: 图 4-4 五分频模块的仿真 图 4-5 六分频模块的仿真 图中 clk 为系统时钟输入, clk5 为五分频后的时钟输出,clk6 为六分频后的时钟输出。 12 (2)串并转换模块 该模块主要实现串行数据输入转换为并行数据输出,输入的为5 位的串行数据,输出的 为 5 位并行的数据,通过Quartus II 封装后的图形如下图: clk clk5 shift_in A40 SER2PAR5to5 inst 图 4-6 5 位串并转换模块 设置好相应的输入和输出后串并转换模块仿真波形如下: 图 4-7 5位串并转换模块的仿真 图中图中 clk 为系统时

23、钟输入, clk5 为五分频后的时钟输入, shift_in 为串行的 5B 码组 输入,A 为并行的 5B 码组输出。任选一个码组10110,从仿真结果中可以看出,在第五个时 钟周期结束后并行输出的码组为10110,验证了仿真的正确性。 (3)编码模块 该模块主要实现 5B 数据转换为 6B 数据的一个功能, 其实质的事一个 PROM,通过程序 把 5B6B 码表存储起来, 然后如果输入一个5B 的数据,通过查表后输出一个对应的6B 数据, 从而实现 5B 转 6B 的功能,通过 Quartus II 封装后的图形如下图: Y40 clk F Q50 prom5_6 inst 图 4-8 编

24、码模块 设置好相应的输入和输出后六分频器的仿真波形如下: 13 图 4-9 存储模块(编码模块)的仿真 图中 clk 为系统时钟输入; F 为模式选择位, 0 表示选择模式 1,1 表示选择模式 2;Y 位 并行的 5B 码组输入, Q 为并行的 6B 码输出。通过 Y 和 Q 的码组可以发现,当输入00000 时输出 110010,当输入 00001时输出 100001,只不过延迟了半个时钟周期。与5B6B 编 码表中进行对比可以证明仿真结果是正确的。 (4)并串转换模块 该模块主要实现并行数据输入转换为串行数据输出,输入的为6 位并行的数据,输出的 为 6 位串行数据,通过Quartus

25、II 封装后的图形如下图: clk0 clk1 Q50 shift_out PAR2SER6to6 inst 图 4-10 6 位并串转换模块 设置好相应的输入和输出后并串装换模块的仿真波形如下: 图 4-11 6 位并串转换模块的仿真 图中 clk0 表示系统时钟 6 分频后的时钟输入, clk 为系统时钟输入, Q 为并行的 6B 码组 输入, shift_out 为串行的 6B 码组输出。选用任意一个码组100100 测试, shift_out 第六个周 期后开始输出的串行码为100100,说明了仿真的正确性。 4.2.3 编码电路设计与仿真 完整的电路模块采用自顶向下的设计方法,在顶层

26、模块中调用各个子模块完成系统控制 功能。具体顶层设计电路如下: 14 图 4-12 编码完整电路 15 设置好相应的输入和输出后并串装换模块的仿真波形如下: 图 4-13 编码电路仿真波形 图 clk 为系统时钟输入, clk5 为 5 分频器分频后的输出 ,clk6 为 6 分频器分频后的输出, F 为模式选择位,shift_in 为串行的 5B 码组输入, shift_out 为串行的 6B 码组输出。 A、B 分别 为并行的 5B、6B 码组。任选一个码组01100作为串行码组输入,当F 为 1 时,可以看到在 一个 clk6 周期开始串行输出的6B 码组为 101100,通过码表对比可

27、以知道,设计与仿真都是 正确的,故整个系统完全实现了所需的功能。 4.3 译码电路设计 4.3.1 译码原理 图 4-14 译码部分系统框图 5B6B 译码是编码过程的逆过程,也就是把6B 码流按照算法规则译成5B 码流。译码的 输入是 6B 码流,译码时,把送来的已变换的6B 信号码流,每 6 比特并联为一组, 作为 PROM 的地址,然后读出 5B 码,再经过并 -串变换还原为原来的信号码流。在5B6B 码中,5 位字符 共有 32 种不同的组合码字。而6 位字符共有 64 种不同组合的码字。 4.3.2 各模块的设计及仿真 (1)时钟控制模块(分频模块) 该模块主要是实现一个时钟分频的功

28、能。本设计需要用到一个五分频和一个六分频,通 16 过 Quartus II 封装后的图形以及仿真波形与编码模块的分频模块完全相同,不同的是五分频模 块为五位并串转换模块提供时钟,而六分频为六位串并转换模块提供时钟。 (2)六位串并转换模块 该模块主要实现串行数据输入转换为并行数据输出,输入的为6 位的串行数据,输出的 为 6 位并行的数据,通过Quartus II 封装后的图形如下图: clk clk6 shift_in A50 SER2PAR6to6 inst 图 4-15 6 位串并转换模块 设置好相应的输入和输出后并串装换模块的仿真波形如下: 图 4-16 6 位串并转换模块的仿真 图

29、中图中 clk 为系统时钟输入, clk6 为六分频后的时钟输入,shift_in 为串行的 6B 码组 输入, A 为并行的 6B 码组输出。任选一个码组100110 ,从仿真结果中可以看出,在第六个 时钟周期结束后并行输出的码组为100110,验证了仿真的正确性。 (3)译码部分 该模块主要实现 6B 数据转换为 5B 数据的一个功能, 其实质的事一个 PROM,通过程序 把 5B6B 码表存储起来, 然后如果输入一个6B 的数据,通过查表后输出一个对应的5B 数据, 从而实现 6B 转 5B 的功能,通过 Quartus II 封装后的图形如下图: clk5 Q50 Y40 PROM6_

30、5 inst3 图 4-17 译码模块 设置好相应的输入和输出后并串装换模块的仿真波形如下: 17 图 4-18 译码模块仿真 图中 clk5 为系统时钟输入;Y 位并行的 5B 码组输入, Q 为并行的 6B 码输出。通过 Y 和 Q 的码组可以发现,当输入011010时输出 11010,只不过延迟了半个时钟周期。与5B6B 编码表中进行对比可以证明仿真结果是正确的。 (4)五位并串转换电路 该模块主要实现并行数据输入转换为串行数据输出,输入的为5 位并行的数据,输出的 为 5 位串行数据,通过Quartus II 封装后的图形如下图: clk0 clk5 Q40 shif t_out PA

31、R2SER5to5 inst 图 4-19 5 位并串转换模块 图 4-20 5 位并串转换模块仿真 图中 clk0 表示系统时钟5 分频后的时钟输入, clk1 为系统时钟输入, Q 为并行的 5B 码 组输入, shift_out 为串行的 5B 码组输出。选用任意一个码组01101测试,shift_out 第五个周 期后开始输出的串行码为01101,说明了仿真的正确性。 18 4.2.3 译码电路设计与仿真 图 4-21 译码完整电路 19 图 4-22 译码电路仿真波形 图 clk 为系统时钟输入, clk5 为 5 分频器分频后的输出, clk6 为 6 分频器分频后的输出, shi

32、ft_in 为串行的 6B 码组输入, shift_out 为串行的 5B 码组输出。任选一个码组100110作为 串行码组输入, 可以看到在一个 clk5 周期后串行输出的6B 码组为 00110,通过码表对比可以 知道,设计与仿真都是正确的,故整个系统完全实现了所需的功能。 20 总结 本次通信综合训练通过对5B6B 线路码的编译码工作原理进行分析,设计出相应的电路, 实现 5B6B 线路码的编码与译码功能。 我的设计是通过 Quartus II 软件实现的, 通过对设计所需系统的原理分析,将整个系统模 块化。然后写出完成各部分功能对应的VHDL 程序,再将这些程序封装到一个模块中,像编

33、码部分的分频模块、串并转换模块、编码模块、并串转换模块等。最后调用这些子模块组成 完整的电路,实现设计所需的编码译码功能。在整个设计过程中,每个模块都要进行仿真验 证,确保电路设计功能的正确性。 在本次通信综合训练中遇到不少功能实现的问题,尤其是对实现编译码功能模块的 VHDL 语言的硬件电路实现的描述。从中深入体会到VerilogHDL 语言软件语法的灵活性和 Quartus II 强大的功能仿真能力。 在这次综合训练过程中,屡屡碰见一些问题,在解决这些问题的过程中,不断加强了我 对光纤通信系统以及线路码型的理解。对于一些自己不清楚,不明白但平时又很难发现的知 识点有了一次全面的巩固与复习。

34、本次通信训练让我深深的感觉到理论与实践相结合的重要 性,为以后走向工作岗位从事通信设计提供了锻炼的机会,打下了坚实的理论基础和丰富了 设计思想。 在三周的通信综合训练过程中, 指导老师时时在我们身旁帮助我们,教会了我很多东西, 谢谢我们的指导老师对我们的帮助,同时也感谢同组同学的协作配合。 21 参考文献 1 光纤通信系统,邱昆,电子科技大学出版社 2 光纤通信 , 刘增基 ;西安电子科技大学出版社 3 陈耀和 .VHDL 语言设计技术 M. 北京:电子工业出版社 ,2004. 4 阎石.数字电子技术第四版 M. 高等教育出版社, 1998. 5 谢琳.一种典型的 4B5B 编解码电路,光通信

35、技术 J.光通信技术, 1997 (2 ):130-135. 6孙娇燕 .5B6B 码编解码方案的分析与改进J.光通信技术 ,1994,4:282-286. 75B6B 编解码的 VHDL 仿真实现杨云 邓元庆 8VHDL 硬件描述语言辛春燕 国防工业出版社 9 杨祥林 . 光纤通信系统 M. 北京:国防工业出版社,2000.1. 10 孟庆海,张洲 . VHDL 基础及经典实例开发 M. 西安交通大学出版社, 2008.4. 22 附录:编译码各模块的程序 五分频模块程序 library ieee; use ieee.std_logic_1164.all; use ieee.std_logi

36、c_unsigned.all; entity cnt5 is port(clk:in std_logic; clk5:out std_logic); end; architecture exam of CNT5 is signal q:integer range 0 to 4; signal temp: std_logic; begin process(clk) begin if clkevent and clk=1 then if q=4 then qREGREGREGREGREGREGREGREGREGREGREGREGREGREGREGREGREGREGREGREGREGREGREGRE

37、GREGREGREGREGREGREGREGREGREGREGREGREGREGREGREGREGREGREGREGREGREGREGREGREGREGREGREGREGREGREGREGREGREGREGREGREGREGREGREGREGREGREGshift_outshift_outshift_outshift_outshift_outshift_outshift_outREGREGREGREGREGREGREGREGREGREGREGREGREGREGREGREGREGREGREGREGREGREGREGREGREGREGREGREGREGREGREG null; end case; end if; end process ; Yshift_outshift_outshift_outshift_outshift_outshift_out=X; end case; end process; end one; 27

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 其他


经营许可证编号:宁ICP备18001539号-1