Verilog项目设计报拔河游戏机word版.doc

上传人:rrsccc 文档编号:8924524 上传时间:2021-01-25 格式:DOC 页数:24 大小:499KB
返回 下载 相关 举报
Verilog项目设计报拔河游戏机word版.doc_第1页
第1页 / 共24页
Verilog项目设计报拔河游戏机word版.doc_第2页
第2页 / 共24页
Verilog项目设计报拔河游戏机word版.doc_第3页
第3页 / 共24页
Verilog项目设计报拔河游戏机word版.doc_第4页
第4页 / 共24页
Verilog项目设计报拔河游戏机word版.doc_第5页
第5页 / 共24页
点击查看更多>>
资源描述

《Verilog项目设计报拔河游戏机word版.doc》由会员分享,可在线阅读,更多相关《Verilog项目设计报拔河游戏机word版.doc(24页珍藏版)》请在三一文库上搜索。

1、传播优秀Word版文档 ,希望对您有帮助,可双击去除!河海大学物联网工程学院Verilog HDL项目设计报告题目 拔 河 游 戏 机 专业 电子科学与技术 学号1562910123、1562910125 授课班号 6292758 学生姓名 徐子豪、杨诗欣 指导教师 华迪、齐本胜 传播优秀Word版文档 ,希望对您有帮助,可双击去除!摘 要 和 关 键 字为了掌握数字系统的设计方法,掌握硬件描述语言Verilog HDL,掌握模块化设计方法,掌握开发软件的使用方法。 选择 基于FPGA开发板设计的拔河游戏机,使用两个按键进行比赛,利用按键按下的快慢作为模拟的双方选手拔河过程,led灯的变化决定

2、绳子的位置,led灯到了一边以后比赛终止,整个过程的难点在于,需要过滤信号,使按键产生的信号稳定,所以程序中需要有按键消抖模块,同时需标识符来控制比赛的开始与结束。关键词 :verilog 拔河比赛 消抖模块 标识符 In order to master the design method of digital system, we must master the hardware description language - Verilog HDL, grasp the modular design method and master the way of developing softwa

3、re. ChoiceTug of war game FPGA development board based on the design, the use of two button game, using key press speed as both players tug of war of simulation, change led lamp decide the position of the rope, LED lights to the side after the end game, the difficulty lies in the whole process, need

4、 to filter the signal, the stable signal generated by the keys so, the procedure requires a key debounce module, also need to control the games identifier and end start.传播优秀Word版文档 ,希望对您有帮助,可双击去除!Key words: Verilog tug shake-off module identifier. 一、系统设计1 . 实验要求 设计拔河游戏电路,用按键与LED表示输入与输出。 (1)初始时,16个LE

5、D中间的两个点亮,然后游戏双方不停按动按键,点亮的两个LED向按动按键慢的一方移动;(2)每按动一下按键,LED向对方移动一格;(3)只要LED移动到头,游戏结束;(4)工作时钟100Hz即可;(5)完成全部流程:设计规范文档、模块设计、代码输入、功能仿真、约束与综合、布局布线、时序仿真、下载验证等。2 . 方案对比 脉冲信号方案: 在选定一定的时间周期内,检测按键A与按键B的产生的脉冲个数,进行比较,若A的脉冲数量大于B,则Led向A方向移动,反之则向B方向移动,若相等则不动。 传播优秀Word版文档 ,希望对您有帮助,可双击去除!消抖模块方案给所定按键两个状态,一个前状态,一个后状态,当时

6、钟时钟的脉冲沿来临时,将按键状态赋值给前状态,设置定时器,当计数计满后,前状态值赋给后状态,按键输出值为前状态和后状态的取反的并。此方案当一直按住按键时,按键电平信号一直为高,取反后的变为低,可以避免一直按住而直接比赛结束的特殊情况。3. 系统框图译码模块Led移动比较模块按键模块消抖模块时钟分频 传播优秀Word版文档 ,希望对您有帮助,可双击去除!由分频后的时钟信号模块控制按键信号模块,之后进入比较模块,若A的脉冲数大于B,则Led向A代表方向移动,反之则向B代表方向移动,若相等则不动。由Led的位置决定使能端的开启与关闭,若移动至A或B的顶端,则使能端控制Led无法再移动。4. 代码设计

7、和说明(1)对于输入端口输出端口的定义,和寄存器,线网型变量的,以及计数常量的定义module project_ba(Clk,Rst_n,KEY1,KEY2,LED);input Clk;input Rst_n;input KEY1;input KEY2; /定义输入 output 15:0 LED;/定义输出reg 27:0 Cnt;reg Clk_100hz;reg en;reg Key1_n;reg Key1_n_reg;reg Key2_n;reg Key2_n_reg;reg 3:0 t;reg 15:0 led;wire key1,key2;parameter CNT = 28d4

8、9_999;传播优秀Word版文档 ,希望对您有帮助,可双击去除!(2)分频模块,将Basys3的100M系统时钟分频成为周期为10ms,100Hz频率always(posedge Clk or posedge Rst_n)beginif(Rst_n) beginCnt = 28d0;Clk_100hz = 0;endelse if(Cnt = CNT) beginCnt = 28d0;Clk_100hz = Clk_100hz;endelseCnt = Cnt + 1b1;end(3)按键消抖模块,给每一个按键两个状态,保证按键产生的信号可以消除抖动稳定。always(posedge Clk

9、 or posedge Rst_n)begin if(Rst_n)begin Key1_n = 0;Key2_n = 0;endelse beginKey1_n = Key1_n_reg;Key2_n = Key2_n_reg;endendalways(*)beginif(Cnt = CNT) beginKey1_n_reg = KEY1;Key2_n_reg = KEY2;endelse beginKey1_n_reg = Key1_n;Key2_n_reg = Key2_n;endendassign key1 = Key1_n & (Key1_n_reg);assign key2 = Ke

10、y2_n & (Key2_n_reg);传播优秀Word版文档 ,希望对您有帮助,可双击去除!(4)比较模块,每当时钟的上升沿,便比较A,B的脉冲个数,即谁按得快,输出就为1,否则就为0always(posedge Clk)begin if(t = 4d0 | t = 4d14)en = 1b0; elseen = 1b1;end(5) 移动模块always(posedge Clk_100hz or posedge Rst_n )begin if(Rst_n)t = 7;elseif(en)case(key1,key2)2b10 : t = t + 1b1;2b01 : t = t - 1b1

11、;default:t = t;endcase end(6)译码模块,将得到的信号t转化为,Led的显示,最后赋值给Led输出端口,并且由数码管显示胜利的一方 always(*)begincase(t)4d0 : led = 16b0000_0000_0000_0011;4d1 : led = 16b0000_0000_0000_0110; 4d2 : led = 16b0000_0000_0000_1100; 4d3 : led = 16b0000_0000_0001_1000; 传播优秀Word版文档 ,希望对您有帮助,可双击去除!4d4 : led = 16b0000_0000_0011_

12、0000; 4d5 : led = 16b0000_0000_0110_0000; 4d6 : led = 16b0000_0000_1100_0000; 4d7 : led = 16b0000_0001_1000_0000;4d8 : led = 16b0000_0011_0000_0000; 4d9 : led = 16b0000_0110_0000_0000; 4d10: led = 16b0000_1100_0000_0000; 4d11: led = 16b0001_1000_0000_0000; 4d12: led = 16b0011_0000_0000_0000; 4d13: l

13、ed = 16b0110_0000_0000_0000;4d14: led = 16b1100_0000_0000_0000; default : led = 16b0000_0000_0000_0000;endcaseendassign LED = led;endmodule 传播优秀Word版文档 ,希望对您有帮助,可双击去除!2、 结果与讨论1、仿真代码 define clk_period 10 /定义时钟周期module BaTB();reg Clk;reg Rst_n;reg KEY1,KEY2;wire15:0 LED;project_ba u0(.Clk(Clk),.Rst_n(

14、Rst_n),.KEY1(KEY1),.KEY2(KEY2),.LED(LED);initial begin Clk = 0; end always #(clk_period /2) Clk = Clk; initial begin KEY1 = 0;KEY2 = 0; #(clk_period * 10) ; Rst_n = 1; #(clk_period * 10); Rst_n = 0; KEY1 = 1;KEY2 = 0; #(clk_period * 100); KEY1 = 1;KEY2 = 0; #(clk_period * 100); KEY1 = 1;KEY2 = 0; en

15、d endmodule传播优秀Word版文档 ,希望对您有帮助,可双击去除!2、仿真波形可以看到一直给Key1施加高电平时钟信号,Led灯的高电平一直向1方向的led灯方向移动,由此可得仿真成功。3、 问题与分析 在仿真成功以后生成比特流文件时一直显示错误无法成功,之后在请教其他同学以后,共同分析后发现,一开始设计中的数码管模块无法在结束后对应显示,于是便删除了数码管模块,在led移动到一端后便是游戏结束标志。传播优秀Word版文档 ,希望对您有帮助,可双击去除!4、 结果在分析出问题兵解决之后,将程序烧写到fpga开发板上,设计的功能都能实现,于是上板验证也成功。三、心得体会 一开始接触这个

16、课题的时候,不知道怎么下手,通过其他同学的讲解以及查找资料基本了解了拔河游戏机的设计原理。通过这次课程设计,我更加感到理论和实际之间的差异很大。我也越来越强烈地感到要掌握一项技术,唯一的办法也是最好的办法就是实践。只有通过实践才能将书本上的知识应用,也只有实践才能发现很多问题,真正掌握知识,学以致用。虽然遇到的问题很多,但是同时得到很多有用的经验。这些对于以后的学习和工作都有很大的帮助。 四、参考文献 1 夏宇闻,Verilog数字系统设计教程,北京航天航空大学出版社,20132 王金明,数字系统设计与Verilog HDL,北京电子工业出版社,20093 潘松,EDA技术实用教程,北京科学出

17、版社,2009传播优秀Word版文档 ,希望对您有帮助,可双击去除!附录实物板级验证图:1、左边队员胜利2、右边队员胜利传播优秀Word版文档 ,希望对您有帮助,可双击去除! 3、清零重置传播优秀Word版文档 ,希望对您有帮助,可双击去除!4、 源代码传播优秀Word版文档 ,希望对您有帮助,可双击去除!moduleproject_ba(Clk,Rst_n,KEY1,KEY2,LED);inputClk;inputRst_n;inputKEY1;inputKEY2;/定义输入output15:0LED;/定义输出reg27:0Cnt;regClk_100hz;regen;regKey1_n;

18、regKey1_n_reg;regKey2_n;regKey2_n_reg;reg3:0t;reg15:0led;wirekey1,key2;parameterCNT=28d49_999;always(posedgeClkorposedgeRst_n)beginif(Rst_n)beginCnt=28d0;Clk_100hz=0;endelseif(Cnt=CNT)beginCnt=28d0;Clk_100hz=Clk_100hz;endelseCnt=Cnt+1b1;endalways(posedgeClkorposedgeRst_n)beginif(Rst_n)beginKey1_n=0;

19、Key2_n=0;endelsebeginKey1_n=Key1_n_reg;Key2_n=Key2_n_reg;endendalways(*)beginif(Cnt=CNT)beginKey1_n_reg=KEY1;Key2_n_reg=KEY2;endelsebeginKey1_n_reg=Key1_n;Key2_n_reg=Key2_n;endendassignkey1=Key1_n&(Key1_n_reg);assignkey2=Key2_n&(Key2_n_reg);always(posedgeClk_100hzorposedgeRst_n)beginif(Rst_n)t=7;els

20、eif(en)case(key1,key2)2b10:t=t+1b1;2b01:t=t-1b1;default:t=t;endcaseendalways(posedgeClk)beginif(t=4d0|t=4d14)en=1b0;elseen=1b1;endalways(*)begincase(t)4d0:led=16b0000_0000_0000_0011;4d1:led=16b0000_0000_0000_0110;4d2:led=16b0000_0000_0000_1100;4d3:led=16b0000_0000_0001_1000;4d4:led=16b0000_0000_0011

21、_0000;4d5:led=16b0000_0000_0110_0000;4d6:led=16b0000_0000_1100_0000;4d7:led=16b0000_0001_1000_0000;4d8:led=16b0000_0011_0000_0000;4d9:led=16b0000_0110_0000_0000;4d10:led=16b0000_1100_0000_0000;4d11:led=16b0001_1000_0000_0000;4d12:led=16b0011_0000_0000_0000;4d13:led=16b0110_0000_0000_0000;4d14:led=16

22、b1100_0000_0000_0000;default:led=16b0000_0000_0000_0000;endcaseend/译码模块assignLED=led;endmodule 5、 测试文件define clk_period 10 /定义时钟周期module BaTB();reg Clk;reg Rst_n;reg KEY1,KEY2;wire15:0 LED;project_ba u0(.Clk(Clk),.Rst_n(Rst_n),.KEY1(KEY1),.KEY2(KEY2),.LED(LED);initial begin Clk = 0; end always #(clk

23、_period /2) Clk = Clk; initial begin KEY1 = 0;KEY2 = 0; #(clk_period * 10) ; Rst_n = 1;传播优秀Word版文档 ,希望对您有帮助,可双击去除! #(clk_period * 10); Rst_n = 0; KEY1 = 1;KEY2 = 0; #(clk_period * 100); KEY1 = 1;KEY2 = 0; #(clk_period * 100); KEY1 = 1;KEY2 = 0; end endmodule6、 管脚约束set_property IOSTANDARD LVCMOS33 ge

24、t_ports LED15set_property IOSTANDARD LVCMOS33 get_ports LED14set_property IOSTANDARD LVCMOS33 get_ports LED13set_property IOSTANDARD LVCMOS33 get_ports LED12set_property IOSTANDARD LVCMOS33 get_ports LED11set_property IOSTANDARD LVCMOS33 get_ports LED10set_property IOSTANDARD LVCMOS33 get_ports LED9

25、set_property IOSTANDARD LVCMOS33 get_ports LED8set_property IOSTANDARD LVCMOS33 get_ports LED7set_property IOSTANDARD LVCMOS33 get_ports LED6set_property IOSTANDARD LVCMOS33 get_ports LED5set_property IOSTANDARD LVCMOS33 get_ports LED4set_property IOSTANDARD LVCMOS33 get_ports LED3set_property IOSTA

26、NDARD LVCMOS33 get_ports LED2set_property IOSTANDARD LVCMOS33 get_ports LED1set_property IOSTANDARD LVCMOS33 get_ports LED0set_property PACKAGE_PIN L1 get_ports LED15set_property PACKAGE_PIN P1 get_ports LED14set_property PACKAGE_PIN N3 get_ports LED13set_property PACKAGE_PIN P3 get_ports LED12set_p

27、roperty PACKAGE_PIN U3 get_ports LED11set_property PACKAGE_PIN W3 get_ports LED10set_property PACKAGE_PIN V3 get_ports LED9set_property PACKAGE_PIN V13 get_ports LED8set_property PACKAGE_PIN V14 get_ports LED7set_property PACKAGE_PIN U14 get_ports LED6set_property PACKAGE_PIN U15 get_ports LED5set_p

28、roperty PACKAGE_PIN W18 get_ports LED4set_property PACKAGE_PIN V19 get_ports LED3set_property PACKAGE_PIN U19 get_ports LED2set_property PACKAGE_PIN E19 get_ports LED1传播优秀Word版文档 ,希望对您有帮助,可双击去除!set_property PACKAGE_PIN U16 get_ports LED0set_property PACKAGE_PIN W5 get_ports Clkset_property PACKAGE_PIN W19 get_ports KEY1set_property PACKAGE_PIN T17 get_ports KEY2set_property PACKAGE_PIN V17 get_ports Rst_nset_property IOSTANDARD LVCMOS33 get_ports Clkset_property IOSTANDARD LVCMOS33 get_ports KEY1set_property IOSTANDARD LVCMOS33 get_ports KEY2set_property IOSTANDARD LVCMOS33 get_ports Rst_n

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 社会民生


经营许可证编号:宁ICP备18001539号-1