棋类竞赛计时系统设计EDA课程设计报告.doc

上传人:rrsccc 文档编号:9273723 上传时间:2021-02-14 格式:DOC 页数:27 大小:381.50KB
返回 下载 相关 举报
棋类竞赛计时系统设计EDA课程设计报告.doc_第1页
第1页 / 共27页
棋类竞赛计时系统设计EDA课程设计报告.doc_第2页
第2页 / 共27页
棋类竞赛计时系统设计EDA课程设计报告.doc_第3页
第3页 / 共27页
棋类竞赛计时系统设计EDA课程设计报告.doc_第4页
第4页 / 共27页
棋类竞赛计时系统设计EDA课程设计报告.doc_第5页
第5页 / 共27页
点击查看更多>>
资源描述

《棋类竞赛计时系统设计EDA课程设计报告.doc》由会员分享,可在线阅读,更多相关《棋类竞赛计时系统设计EDA课程设计报告.doc(27页珍藏版)》请在三一文库上搜索。

1、 课 程 设 计 课程名称课程名称 EDA 技术技术 课题名称课题名称 棋类竞赛计时系统设计棋类竞赛计时系统设计 专专 业业 电子科学与技术电子科学与技术 班班 级级 0801 学学 号号 03 姓姓 名名 蒋知英蒋知英 指导教师指导教师 郭照南郭照南 2011 年年 6 月月 24 日日 2 湖南工程学院 课程设计任务书 课程名称课程名称: EDA 技术 题题 目目:基于基于 VHDLVHDL 的棋类竞赛计时系统设计的棋类竞赛计时系统设计 专业: 电科 班级: 0801 班 学生姓名:蒋知英 学号:03 指导老师: 郭照南 审 批: 任务书下达日期 2011 年 6 月 13 日星期一 设计

2、完成日期 2011 年 6 月 24 日星期五 3 设计内容与设计要求 一设计内容:一设计内容: 用 FPGA 为核心器件,用 VHDL 为设计手段设计并制作一个用于 棋类比赛的计时钟系统,功能要求如下: 1. 该计时钟可分别完成甲乙对规定用时的计时和规定时间用 完后的读秒计时。 2. 规定甲乙双方各有 2 小时比赛规定用时,分别设计各方的 用时定时器,并用数码管显示各方已用的时、分从 0:001:59 计时间隔为 1S。 3. 2 小时规定时间用完后,每方限定在 30 秒内必须下出一步 棋,此时定时器将完成对读秒时间的 30S 倒计时,并数码 管显示此时过程 3000。若计时到零,则发生警报

3、信号该 方超时负。 4. 各定时器设置计时暂停/继续键,在规定时间计时时,该 键的作用为暂停本方计时,并继续对方计时;在读秒时间 计时时,该键的作用为复位本方计时起始点 29S,并启动 对方定时器。 5. 设置系统复位键,比赛结束时,按该键使各方的定时器均 复位到规定时间的零点 0:00,以便重新开始比赛。 二、设计要求:二、设计要求: 1、 设计思路清晰,给出整体设计框图; 2、 在Quartus中用 VHDL 完成各单元模块的设计,完 成其功能仿真和编译并生成低层模块; 3、 在Quartus中用原理图输入法完成顶层设计并编译 通过; 4、 在Quartus中完成设计下载并调试电路; 5、

4、 写出设计报告; 4 主要设计条件 1、提供 EDA 设计环境和 EDA 软件 Quartus; 2、提供 EDA 实验箱和 CPLD 下载装置; 说明书格式 1、课程设计报告书封面; 2、任务书; 3、说明书目录; 4、设计总体思路; 5、单元电路设计; 6、总电路设计; 7、设计调试体会与总结; 8、附录; 9、参考文献。 5 进 度 安 排 星期一 上午 安排任务、讲课。 星期一 下午 熟悉 MAX+PLUS的使用; 星期二星期五 查资料、设计 星期一星期二 设计输入和设计仿真四楼 EDA 室 星期三 低层编译和设计下载四楼 EDA 室 星期四 调试电路、写总结报告; 星期五 答辩。 参

5、 考 文 献 6 PLD 器件与 EDA 技术 李冬梅编 电子技术课程设计指导 彭介华、主编 高等教育出版社 电子线路设计、实验、测试 谢自美主编 华中理工出版社。 7 目录目录 1. 设计总体思路设计总体思路.7 1.1 基本原理: .7 1.2 设计模块总体框图如下: .8 2. 单元电路设计单元电路设计.9 2.1 秒 60 进制加法计数时的设计:.9 2.2 分 60 进制加法计时器的设计:.9 2. 3 30 秒倒计时 .10 2.4 二进制计时器.11 2.5 控制模块的设计.11 2.6 选择模块的设计.12 2.7 显示模块的设计.12 3. 总电路设计总电路设计.14 4.

6、设计调试体会与总结设计调试体会与总结.15 5. 附录:附录:.16 5.1 秒 60 进制计时器程序.16 5.2 分 60 进制计时器程序 .17 5.3 30 秒倒计时程序.19 5.4 控制器程序.21 5.5 选择器程序.22 5.6 二进制计时器程序.22 5.7 显示模块程序.23 6. 参考文献:参考文献:.26 8 设计总体思路设计总体思路 基本原理:基本原理: 本计时钟可分别完成甲乙对规定用时的计时和规定时间用完后的 30 秒倒计时的功能。设计要求中规定甲乙双方各有 2 小时比赛规定用时,可 分别设计各方的用时定时器,并用数码管显示各方已用的分、秒从 00:0059:59

7、计时间隔为 1S,用分的进位来驱动一个 LED 表示时,当 分有进位是 LED 灯亮即为一个小时。 2 小时规定时间用完后,每方限定在 30 秒内必须下出一步棋,此时 定时器将完成对读秒时间的 30S 倒计时,并数码管显示此时过程 2900。若计时到零,则发生警报信号该方超时负。设计要求中各定时器 设置计时暂停/继续键,在规定时间计时时,该键的作用为暂停本方计时, 并继续对方计时;在倒计时时,该键的作用为复位本方计时起始点 29S, 并启动对方定时器。设置系统复位键,比赛结束时,按该键使各方的定时 器均复位到规定时间的零点 00:00,以便重新开始比赛。 按照设计要求,分别为甲乙双方设计规定时

8、 2 小时计时器和 30 秒倒 记时器。在控制模块的作用下,相互协调工作:在规定时 2 小时内,30 秒倒计时器不工作,输入继续暂停信号时能暂停其中一方计时器的同时 继续另一方的计时。当甲或乙规定两小时用完后,启动各自的 30 秒计数 器进行倒计时,这时的输入继续暂停信号能复位其中一方的 30 秒计时器 到 29,并开始另一方的 30 秒倒计时器。当比赛结束时,从清零端输入复 位信号,各计时器复位,以重新开始下场比赛。 选择模块的设置,是为了很好解决显示管数量不足的问题。在两小 时规定时间用完后二进制有个进位在改进位的控制下,选择器分别选择规 定两小时秒和 30 秒倒计时的显示,故甲乙每方的时

9、间上的显示就只需要 4 个数码管,总共 8 个。 显示模块是一个动态扫描显示电路。在一个人眼观察不出的扫描频 率下,轮流在相对应的显示管上显示输入端口的输入的数据。同时当甲乙 任一方所有时间都用完时,就输出报警信号,宣布比赛结束,谁的时间先 用完谁就输了。 9 设计模块总体框图如下设计模块总体框图如下: 控 制 器 2 进制 计时 器 选 择 器 分 60 进制 计时 器 30 秒倒 计时 2 进制 计时 器 分 60 进制 计时 器 秒 60 进 制计时 器 秒 60 进 制计时 器 30 秒倒 计时 显 示 模 块 10 单元电路设计单元电路设计 1、秒、秒 60 进制加法计数时的设计进制

10、加法计数时的设计: 对于秒 60 进制的加法计数器的设计,有个位、十位的输出来驱动显 示模块来显示,以及一个脉冲行的进位位,来驱动分 60 秒,作为分 60 秒 的时钟脉冲。其输入有清零端,使能端和时钟脉冲,当清零端为高电平 1 时此计数器被清零,而重新开始计时已被下次比赛使用,当使能端为低电 平时该计数器不工作处于暂停状态,而通过控制模块使得另一方的计时器 工作从而达到暂停本方而继续对方的功能。 秒 60 进制模块如右图所示: 设计此计数器模块的目的是为了显示规定两小时用时 的秒以及驱动分 60 进制。该模块的个位和十位的输 出经过选择器来按时分别选择所需的输出。 其时序仿真图如下图所示:

11、2 2、分、分 6060 进制加法计时器的设计进制加法计时器的设计: 对于分 60 进制的加法计数器的设计,也有个位、十位的输出来驱 动显示模块来显示,以及一个脉冲行的进位位,来驱动分 60 秒,作为分 60 秒的时钟脉冲和一个高电平的进位输出,用来驱动二进制计数器使其 分在运行两次后停止计数,表示规定的两小时已经用完。其输入有清零端, 使能端和时钟脉冲,当清零端为高电平 1 时此计数器被清零,而重新开始 计时已被下次比赛使用,当使能端为低电平时该计数器不工作处于暂停状 态,而通过控制模块使得另一方的计时器工作从而达 到暂停本方而继续对方的功能。 分 60 进制模块如右图所示: 设计此计数器目

12、的是为了显示规定两小时用时的 分,以及驱动二进制和驱动 LED 灯,使其表示为一 个小时,控制这个的是高电平进位端 co,但分 60 进 制有个进位是 co 就为高电平 1,此时将其通过控制模 11 块使其输出一个低电平,将其接到 LED 灯上,就可以表示为一个小时。 其时序仿真图如下所示: 3、30 秒倒计时秒倒计时 30 秒倒计时器是一个减法计数器。所示完成对甲和乙用完归定时间 的读秒计时。该计数器从 29 开始,如果清零端无效,每来一个秒脉冲, 在继续使能端有效下减少 1 到 28。依次到 00,此时 输出一个进位信号 co 为高电平 1,直到有清零端复位 有效时进位信号才回到 0,此时

13、计数器复位到 29,重 新开始计时。其中复位端的功能为复位本方而继续对 方,与在规定的两小时中暂停本方和继续对方为同一 个键。其模块如右图所示: 其时序仿真图如下所示: 12 4、二进制计时器、二进制计时器 二进制计时器以分 60 计时器的脉冲进位为时钟,当 分计时器有进位则二进制计时器就计时一次,当来两个 脉冲则二进制就有个高电平进位输出,这个高电平经过 控制器来控制 30 秒倒计时,并且使得分计时器停止计时, 当系统有个清零信号,则二进制被清零且进位位为零。 其模块如右图所示: 其时序仿真图如下所示: 5、控制模块的设计、控制模块的设计 控制模块用来控制各个模块的,首先它可以通过 二进制的

14、进位来控制 30 秒倒计时的启动以及控制分 60 计时器停止计时;通过使能端来控制甲乙两方暂停和继 续的问题,还通过二进制的进位来控制数码管显示秒的 选择问题,当二进制进位为高电平是则选择显示 30 秒 倒计时,否则显示 60 秒计时器,还通过 30 秒倒计时的 进位来控制 30 秒倒计时停止工作即进位位为 1;并可 通过清零键控制整个系统的清零。其模块如右图所示: 其时序仿真图如下所示: 13 6 6、选择模块的设计、选择模块的设计 选择模块的设计为了解决数码管数量不足的问 题,当在规定的两小时计时时,即二进制的进位 为零时即控制器的 start=0 时选择两小时秒的显 示,当规定的两小时用

15、完时,即二进制的进位为 1 时即控制器的 start=1 时选择 30 秒倒计时显示, 只要就解决了数码管数量不足的问题了。 其模块如右图所示: 其时序仿真图如下所示: 7 7、显示模块的设计、显示模块的设计 八个输入端口分别接选择模块的输入,在一 个较高的时钟脉冲钟作用下,从第一个输入端口 的情况依次扫描到第八个,并在显示管上显示。 其中 d03.0 、d13.0、d23.0、d33.0、 d43.0、d53.0、d63.0、d73.0分别是甲的 60 秒个位、60 秒十位、60 分个位、60 分十位, 其后四个为乙的显示同甲。而在规定两小时用完 后 d03.0 、d13.0、d43.0、d

16、53.0则分别显 示甲乙 30 秒倒计时的个位和十位,但 d23.0、 d33.0、d63.0、d73.0 分别依然显示甲乙的 分 60 的个位和十位,所以也称位动态扫描显示。 SG6.0 和 BT7.0分别为段控制信号和位控制信 号。而 A1、A2、A3、A4 则是个进制计时器进位 的输入,B、BA、BB 是依据 A1、A2、A3、A4 来判断输出的,B 是 30, 秒倒计时用完即某方输的报警信号的输出接 LED 灯,而 BA、BB 则是用 来显示甲乙两方规定用时的时的表示接 LED 灯,灯亮为一小时。 其模块如右图所示: 14 其时序仿真图如下所示: 15 总电路设计总电路设计 将各个功能

17、程序生成模块,在按任务要求以及设计 思路将各个模块连接起来组成一个能实现任务需求 功能的总电路图模块: 总电路图模块如下图所示: 各个模块关系连接的总电路图如下所示 16 设计调试体会与总结设计调试体会与总结 本次设计用了两天的时间来设计各个模块,以及将各个模块进行过 仿真,然后连接成总电路图模块,设计完成后就去实验室调试,起初调试 出来的结果是对的,但是自己的设计思路出了错,所以对设计在进行修改, 将修改后的设计再进行调试,结果与自己所想的结果不一致,在此情况下 我就只是一味的认为自己的程序出了错,反反复复的查找原因,花了好几 个小时也没查出来,自己认为是对的怎么调试的结果会不对呢,后来我根

18、 据哪些结果和我所想的不一样在重新对其进行管脚锁定,可能是实验箱有 什么问题,果然不出我所料,出现调试结果和我所预料的不一样的原因是 因为实验箱上 27、28 管脚是联通的,才导致我的结果出错。所以在调试 中我体会最深的就是实验箱的问题,因为这箱子用了很久难免有些地方是 坏的,所以在以后我对于自己的设计如果与所想的又出入,不但要考虑自 己的设计是否有问题,也还要考虑是否硬件设施有问题,从此我得出的体 会是:如果自己真的认为这个问题没有错,就不要在查下去,得转移到另 一地方,应该多方面的查找问题的所在,而不要只看一方面。 两周的 EDA 课程设计结束了,在这两周的时间里无论是对 quartus

19、软件的熟悉程度,还是对数字电路的基本原理的理解,以及对 VHDL 语 言的应用及编写都有了很大的提高!两个星期的艰苦奋斗,我终于完成了 设计! 设计的过程,实际上也就是一个发现问题、分析问题、解决问题的 过程。两个星期的设计过程中,我发现的问题层出不穷,但最终通过各方 面的努力,比如有些问题自己解决不了,我就通过和同学讨论或者请教老 师,最终还是圆满解决了!通过这两周的课程设计我坚定了自己的信心, 开始以为自己什么都没学到什么都不知道,但是经过这两周的努力我很好 的把老师布置下了的设计任务完成了,感觉自己其实还是知道些东西的, 虽然在设计中遇到了一些技术上的问题,但是通过自己的努力以及老师的

20、帮住我解决了这些问题并也掌握了这些能力。课程设计是结束了,但是跟 这种课程设计一样的人生还很长,通过这次的学习,我认为人啊随时都会 遇到这样那样的困难,但是我们不能退缩了,要像做课程设计一样,遇到 什么难题先自己去思考,再通过身边的人的帮助,没有什么事情是解决不 了的!当然最重要的还是要靠自己。 在这次课程设计中之所以我能顺利的完成,除了是因为我自己的努 力之外,老师的指导和帮助是少不了的,所以在此感谢郭老师对我的指导, 让我在这两周的课程设计中学到了许多的东西。 17 附录附录: 1、秒、秒 60 进制计时器程序进制计时器程序 library ieee; use ieee.std_logic

21、_1164.all; use ieee.std_logic_unsigned.all; ENTITY cnt60m IS PORT (clk: INSTD_LOGIC; cr: INSTD_LOGIC; en: INSTD_LOGIC; co1 : out STD_LOGIC; q1: OUT STD_LOGIC_VECTOR (3 DOWNTO 0); y10: OUT STD_LOGIC_VECTOR (3 DOWNTO 0) ); END cnt60m; ARCHITECTURE a OF cnt60m IS SIGNALbcd1n: STD_LOGIC_VECTOR (3 DOWNTO

22、 0); SIGNALvcd10n : STD_LOGIC_VECTOR (3 DOWNTO 0); BEGIN PROCESS (clk,cr) BEGIN IF (cr = 1) THEN bcd1n = 0000; ELSIF (clkEVENT AND clk = 1) THEN IF (bcd1n=9) THEN bcd1n=0000; ELSE IF (en=1) THEN bcd1n = bcd1n + 1; ELSE bcd1n = bcd1n; END IF; END IF; END IF; END PROCESS; q1 = bcd1n; y10=vcd10n; 18 PR

23、OCESS (clk, cr) BEGIN IF cr = 1 THEN vcd10n = 0000; ELSIF (clkEVENT AND clk = 1) THEN IF (bcd1n=9) THEN IF (vcd10n=5) THEN vcd10n=0000; ELSE IF (en=1) THEN vcd10n = vcd10n + 1; ELSE vcd10n = vcd10n; END IF; END IF; END IF; END IF; END PROCESS; process (bcd1n,vcd10n) begin if (bcd1n=9 and vcd10n=5) t

24、hen co1=1; else co1=0; end if; end process; end a; 2、分、分 60 进制计时器程序进制计时器程序 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; ENTITY cnt60 IS PORT (clk: INSTD_LOGIC; cr: INSTD_LOGIC; en: INSTD_LOGIC; co,co1 : out STD_LOGIC; 19 q1: OUT STD_LOGIC_VECTOR (3 DOWNTO 0); y10: OUT

25、STD_LOGIC_VECTOR (3 DOWNTO 0) ); END cnt60; ARCHITECTURE a OF cnt60 IS SIGNALbcd1n: STD_LOGIC_VECTOR (3 DOWNTO 0); SIGNALvcd10n : STD_LOGIC_VECTOR (3 DOWNTO 0); BEGIN PROCESS (clk,cr) BEGIN IF (cr = 1) THEN bcd1n = 0000; ELSIF (clkEVENT AND clk = 1) THEN IF (bcd1n=9) THEN bcd1n=0000; ELSE IF (en=1)

26、THEN bcd1n = bcd1n + 1; ELSE bcd1n = bcd1n; END IF; END IF; END IF; END PROCESS; q1 = bcd1n; y10=vcd10n; PROCESS (clk, cr) BEGIN IF cr = 1 THEN vcd10n = 0000; ELSIF (clkEVENT AND clk = 1) THEN IF (bcd1n=9) THEN IF (vcd10n=5) THEN vcd10n=0000; ELSE IF (en=1) THEN vcd10n = vcd10n + 1; ELSE vcd10n = vc

27、d10n; 20 END IF; END IF; END IF; END IF; END PROCESS; PROCESS (clk,cr) BEGIN if(cr=1)then co=0; elsif(clkEVENT AND clk = 1) then if( bcd1n=9 and vcd10n=5 )then co=1; END IF; END IF; END PROCESS; process (bcd1n,vcd10n) begin if (bcd1n=9 and vcd10n=5) then co1=1; else co1=0; end if; end process; end a

28、; 3、30 秒倒计时程序秒倒计时程序 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; ENTITY cnt30 IS PORT (clk: INSTD_LOGIC; cir ,en : INSTD_LOGIC; co : OUT STD_LOGIC; q1: OUT STD_LOGIC_VECTOR (3 DOWNTO 0); y10: OUT STD_LOGIC_VECTOR (3 DOWNTO 0) ); END cnt30; ARCHITECTURE a OF cnt30 IS SI

29、GNALbcd1n: STD_LOGIC_VECTOR (3 DOWNTO 0); 21 SIGNALvcd10n : STD_LOGIC_VECTOR (3 DOWNTO 0); BEGIN PROCESS (clk,cir,en) BEGIN if(cir=1)then co=0; elsif(clkEVENT AND clk = 1) then if( bcd1n=0 and vcd10n=0 )then co=1; END IF; END IF; END PROCESS; PROCESS (clk,cir) BEGIN IF (cir=1) THEN bcd1n = 1001; ELS

30、IF (clkEVENT AND clk = 1) THEN IF (en=1 ) THEN IF (bcd1n=0 ) THEN bcd1n = 1001; ELSIF (bcd1n=0 and vcd10n=0) THEN bcd1n = 1001; ELSE bcd1n = bcd1n-1; END IF; END IF; END IF; END PROCESS; q1 = bcd1n; y10 = vcd10n; PROCESS (clk, cir) BEGIN IF (cir =1) THEN vcd10n = 0010; ELSIF (clkEVENT AND clk = 1) T

31、HEN IF (en=1 ) THEN IF (bcd1n=0 AND vcd10n=0) THEN vcd10n = 0010; ELSIF ( bcd1n=0) THEN 22 vcd10n = vcd10n-1; ELSE vcd10n = vcd10n ; END IF; END IF; END IF; END PROCESS; end a; 4、控制器程序、控制器程序 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; ENTITY kongzhiqi IS PORT ( en,cr,

32、coa,cob,clkaa,clkbb,clk3,co1,co2: INSTD_LOGIC; clka,clkb,clk1,clk2,ena,enb,cra,crb : out STD_LOGIC); END kongzhiqi; ARCHITECTURE a OF kongzhiqi IS BEGIN PROCESS (en,cr,coa,cob,clkaa,clkbb) BEGIN if(en=1) then ena=1;enb=0; else ena=0;enb=1; end if; if(cr=1 or (not en)=1) then cra=1; else cra=0; end i

33、f; if(cr=1 or en=1) then crb=1; else crb=0; end if; if(coa=0) then clka=clkaa; else clka=0; end if; 23 if(cob=0) then clkb=clkbb; else clkb=0; end if; if(co1=0) then clk1=clk3; else clk1=0; end if; if(co2=0) then clk2=clk3; else clk2=0; end if; END PROCESS; end a; 5、选择器程序、选择器程序 library ieee; use iee

34、e.std_logic_1164.all; use ieee.std_logic_unsigned.all; ENTITY xuanzeqi IS PORT ( start: INSTD_LOGIC; a,b,c,d: in STD_LOGIC_VECTOR (3 DOWNTO 0); M301,M302 : OUT STD_LOGIC_VECTOR (3 DOWNTO 0); END xuanzeqi; ARCHITECTURE a OF xuanzeqi IS BEGIN PROCESS (start,a,b,c,d) BEGIN if(start=1) then M301=a;M302=

35、b; else M301=c;M302=d; end if; END PROCESS; end a; 6、二进制计时器程序、二进制计时器程序 library ieee; 24 use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; ENTITY cnt2 IS PORT (clk: INSTD_LOGIC; cir : IN STD_LOGIC; co : OUT STD_LOGIC); END cnt2; ARCHITECTURE a OF cnt2 IS SIGNALbcd1n: STD_LOGIC_VECTOR (1 D

36、OWNTO 0); BEGIN PROCESS (clk,cir) BEGIN if(cir=1)then co=0; elsif(clkEVENT AND clk = 1) then if( bcd1n=1 )then co=1; END IF; END IF; END PROCESS; PROCESS (clk,cir) BEGIN IF (cir=1) THEN bcd1n = 00; ELSIF (clkEVENT AND clk = 1) THEN IF (bcd1n=1 ) THEN bcd1n = 00; ELSE bcd1n = bcd1n+1; END IF; END IF;

37、 END PROCESS; end a; 7、显示模块程序、显示模块程序 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; 25 ENTITY SCAN_LED IS PORT ( CLK1,A1,A2,A3,A4 : IN STD_LOGIC; d0,d1,d2,d3,d4,d5,d6,d7:in STD_LOGIC_VECTOR(3 DOWNTO 0); B,BA,BB : OUT STD_LOGIC; SG : OUT STD_LOGIC_VECTOR(6 DOWNTO 0); -段控制

38、信号 输出 BT : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) );-位控制信号 输出 END; ARCHITECTURE one OF SCAN_LED IS SIGNAL CNT8 : STD_LOGIC_VECTOR(2 DOWNTO 0); SIGNAL A : STD_LOGIC_VECTOR(3 DOWNTO 0); BEGIN P0:PROCESS(A1,A2) BEGIN IF (A1=1 or A2=1) THEN B=0; ELSE B=1; END IF; END PROCESS P0; PROCESS(A3,A4) BEGIN IF(A3=1)

39、THEN BA=0; ELSE BA=1; END IF; IF(A4=1) THEN BB=0; ELSE BB BT = 00000001 ; A BT = 00000010 ; A BT = 00000100 ; A BT = 00001000 ; A BT = 00010000 ; A BT = 00100000 ; A BT = 01000000 ; A BT = 10000000 ; A NULL ; END CASE ; END PROCESS P1; P2:PROCESS(CLK1) BEGIN IF CLK1EVENT AND CLK1 = 1 THEN CNT8 SG SG SG SG SG SG SG SG SG SG SG SG SG SG SG SG NULL ; END CASE ; END PROCESS P3; END one; 27 参考文献参考文献: PLD 器件与 EDA 技术 李冬梅 主编 电子技术课程设计指导 彭介华 主编 高等教育出版社 电子线路设计、实验、测试 谢自美 主编华中理工出版社 电子技术与 EDA 技术课程设计 郭照南 主编 中南大学出版社

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 社会民生


经营许可证编号:宁ICP备18001539号-1