总线数据传输实验.docx

上传人:rrsccc 文档编号:9522530 上传时间:2021-03-02 格式:DOCX 页数:6 大小:125.02KB
返回 下载 相关 举报
总线数据传输实验.docx_第1页
第1页 / 共6页
总线数据传输实验.docx_第2页
第2页 / 共6页
总线数据传输实验.docx_第3页
第3页 / 共6页
总线数据传输实验.docx_第4页
第4页 / 共6页
总线数据传输实验.docx_第5页
第5页 / 共6页
点击查看更多>>
资源描述

《总线数据传输实验.docx》由会员分享,可在线阅读,更多相关《总线数据传输实验.docx(6页珍藏版)》请在三一文库上搜索。

1、实验报告学号:实验日期:姓名:实验名称:总线数据传输实验总 分:一、实验设计方案? 实验框图?实验原理1、 SW_BUS 低电平有效,此时将K7.0 的数据送到总线,通过L7.0 双向数据端口输出显示总线的数据,使用的芯片是74244 八位单向三态缓冲器;2、 R3_BUS 、 R2_BUS 、 R1_BUS 低电平有效,其功能是将数据要传入的寄存器打开,若相应的 lddr 为 1(高电平有效) ,将数据传入相应的寄存器;3、 总线数据传输时,控制信号中只能有一个有效,寄存器的端口送至数据总线,所以每个 BUS 接口对应每个R 寄存器的显示;4、 通过读写的双重作用,实现R1 和 R2 的数据

2、交换。?表达式Reg3 Reg1; Reg1 Reg2; Reg2 Reg3?方法一:vhdl代码library ieee;use ieee.std_logic_1164.all;entity exp_bus isport(clk:in std_logic;sw_bus,r1_bus,r2_bus,r3_bus:in std_logic;k:in std_logic_vector(7 downto 0);lddr:in std_logic_vector(3 downto 1);l:inout std_logic_vector(7 downto 0);end exp_bus;architectu

3、re rtl of exp_bus issignal r1,r2,r3,bus_Reg:std_logic_vector(7 downto 0);beginldreg:process(clk,lddr,bus_reg)beginif clkevent and clk=1 thenif lddr(1)=1then r1=bus_reg;elsif lddr(2)=1then r2=bus_reg;elsif lddr(3)=1then r3=bus_reg;end if;end if;end process;bus_reg0);lZ);end rtl;?方法二: bdf 实验原理图二、功能验证?

4、 波形图(图):12345678910? 操作步骤(对应波形图列表说明每步状态、有效控制信号)设置初值, swr3r2r1_bus=1111,lddr=000 ,数据初始置 k 为 00,总线输出为高阻态;设置数据 k=E1 ,swr3r2r1_bus=0111 ,lddr=001 ,将数据 E1 传至总线, 通过 L7.0显示总线数据,数据E1 存入 r1 并显示出来;swr3r2r1_bus=1110 ,lddr3=100 ,将 R1 的数据 E1 写入总线并存入R3,总线显示从 R1 传至总线的数据E1; swr3r2r1_bus=1011 , lddr=000 ,将 R3 的数据 E1

5、 写入总线中;设置数据k7.0=D2 , swr3r2r1_bus=0111 , lddr2=010 ,将数据D2 传至总线并存入 R2 ,通过 L7.0 显示总线数据 D2;swr3r2r1_bus=1101 , lddr=100 ,将 R2的数据D2 写入总线并存入R3,总线显示从 R2 传至总线的数据 D2 ;swr3r2r1_bus=1011 , lddr=000 ,将 R3 的数据D2 写入总线中;swr3r2r1_bus=1110 ,lddr=100 ,将 R1 的数据E1 传至总线并存入R3 中,实现 R1数据传输到 R3 中,并显示数据 E1;swr3r2r1_bus=1101

6、 , lddr=001 ,将 R2的数据D2传至总线并存入R1中,实现R2 数据传输到 R1 中,并显示数据 D2 ;swr3r2r1_bus=1011 , lddr=010 ,将 R3的数据E1传至总线并存入R2中,实现R3 数据传输到R2 中,并显示数据E1 ,交换完毕。? 数据记录R1R2R3初态E1HD2HR1 R3D2HE1HR2 R1D2HE1HR3 R2D2HE1H? 仿真结论波形仿真逻辑功能验证正确, 通过总线数据传输的原理, 用一个八位单向三态缓冲器 74244 和三个带三态输出的八位寄存器74374 实现了数据的显示和交换。三、实验日志? 实验问题Q1.在使用 bdf 原理

7、 方法中的仿真未出 LresultA1.在 L7.0的定 中将 bidir 双向数据端口定 output 向数据端口? 思考 Q1. 数据 的基本特性是什么?A1. 是一 行互 和 信息(指令、数据和地址)的信号 , 的基本特性是不允 挂在 上的部件同 有一个以上的部件向 出信息, 但允 挂在 上的多个部件同 从 上接收信息。Q2. 从 74374 和 74244 内部 路 构 上 明它 的 功能?A2. 74244 是八位 三 冲器,使用了两个反相器(端口是 冲器构成,所以低 平有效,在有效 , 入 至 出,当无效 , 出端口 高阻 ;1G 和 2G)和 8 个三 1G、2G 高 平即743

8、74 是 三 出的八位寄存器,低 平有效,由一个反相器,8 个 D 存器和8 个三 反相器所构成。OEN 是控制端口, 高 平 , 出 高阻 ,低 平 有效, 的上升沿触 ,将 入 至 出端口, 于低 平 , 出保持不 。Q3. 路中BIDIR 端口的用途是什么?A3. BIDIR 是双向数据端口, 用中,数据 是双向的,所以要有双向的数据端口,不 做信号的 入也做信号的 出。Q4. 例 明画 路 中 bus line(粗 )和 node line( 细线 )区 。 与支 命名方式是什么?A4.在 路 中, lddr3.1 是粗 , 通 它的命名方式可以知道lddr 有三条与之 的支 ,分 是

9、 lddr3 、 lddr2 、 lddr1 , 三条分 要与 命名。Q5. 需要互 R1 和 R2 数据,但是 路 中 R3 的 有 , 在哪里? 什么?A5. 路 中 R3 的 在于 R3 的 出支 命名全是 LE ,只是用来 示R3 上的数据,而无法 R3 上的数据 至 ,所以更改方法是将R3 的 出与R1,R2一 ,全部改 L,那么便可向 数据。Q6. exp_bus.vhd 代 中如何 双向 的端口定 与 冲?A6. 双向 的端口定 是通 inout 来 的。Q7. 写出 exp_bus.vhd 代 中( others=”Z”)的其他描述方式?A7. 高阻 。Q8. 写 VHDL 的 合 是如何 多路 器?A8. 用 when else 的 句来 的。bus_reg0);Q9. 编写 VHDL 代码时如何为寄存器赋初值?A9. bus 中当出现一个或一个以上为0,那么便会将bus_reg 赋值给 l ;当不出现0 时,总线为高阻态,从而实现了给寄存器赋初值。lZ);? 实验总结本次实验明白了数据传送的过程和具体操作, 了解如何单个开启数据传送, 如何控制数据流的方向,并掌握总线数据传输的原理。

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 社会民生


经营许可证编号:宁ICP备18001539号-1