数字电路思考题答案(6-10章)doc - 思考题5.doc

上传人:scccc 文档编号:11232072 上传时间:2021-07-16 格式:DOC 页数:12 大小:1.08MB
返回 下载 相关 举报
数字电路思考题答案(6-10章)doc - 思考题5.doc_第1页
第1页 / 共12页
数字电路思考题答案(6-10章)doc - 思考题5.doc_第2页
第2页 / 共12页
数字电路思考题答案(6-10章)doc - 思考题5.doc_第3页
第3页 / 共12页
数字电路思考题答案(6-10章)doc - 思考题5.doc_第4页
第4页 / 共12页
数字电路思考题答案(6-10章)doc - 思考题5.doc_第5页
第5页 / 共12页
点击查看更多>>
资源描述

《数字电路思考题答案(6-10章)doc - 思考题5.doc》由会员分享,可在线阅读,更多相关《数字电路思考题答案(6-10章)doc - 思考题5.doc(12页珍藏版)》请在三一文库上搜索。

1、思考题6 1时序逻辑电路在功能上和电路结构上各有什么特点?和组合逻辑电路相比,它们的主要区别是什么?答:时序逻辑电路在功能上的特点:电路在任何时刻的输出状态不仅取决于该时刻的输入状态,而且还取决于电路原来的状态。时序逻辑电路在电路结构上的特点: (1)时序逻辑电路由组合逻辑电路和存储电路组成。 (2)时序逻辑电路存在内部反馈,其输出状态由输入信号和存储电路的原有状态(现态)共同决定。和组合逻辑电路相比,它们的主要区别在于时序逻辑电路必须有存储电路。需要记忆电路前一时刻的状态。2 什么是同步时序逻辑电路?什么是异步时序逻辑电路?答:(1)同步时序逻辑电路: 电路中所有触发器(存储电路)状态的变更

2、发生在同一时钟的同一时刻(CP或CP)。(2)异步时序逻辑电路:电路中所有触发器(存储电路)状态的变更不都发生在同一时钟的同一时刻(CP或CP)。 3分析时序逻辑电路有哪些步骤?异步时序逻辑电路的分析方法和同步时序逻辑电路的分析方法主要区别是什么?为什么?答:分析步骤:1写方程式 (1)输出方程、(2)驱动方程、(3)状态方程、(4)时钟方程(异步时序逻辑电路); 2列状态转换真值表; 3逻辑功能的说明; 4画状态转换图和时序图;异步时序逻辑电路的分析方法与同步时序逻辑电路的分析方法基本相似,主要区别在于异步时序逻辑电路要写出时钟方程,因为电路中所有触发器(存储电路)状态的变更不都发生在同一时

3、钟的同一时刻。4什么叫寄存器?什么叫移位寄存器?它们有哪些异同点?答:用以存放二进制代码的电路称为寄存器。具有存放数码和受移位脉冲CP控制,使数码逐位左移或右移的电路称为移位寄存器。异同点:移位寄存器可实现逐位左移或右移,二者都由触发器构成用以存放二进制代码。5试用JK触发器和反相器构成一个4位右移移位寄存器。答:6什么叫顺序脉冲发器?答:顺序脉冲是指在每个循环周期内,在时间上按一定顺序排列的脉冲信号。产生顺序脉冲信号的电路称为顺序脉冲发生器。7什么叫双向移位寄存器? 试用CT74LSl94的右移串行数码输入端。构成一个顺序脉冲发生器。答:在控制信号作用下,既可进行左移又可进行右移位操作的移位

4、寄存器称为双向移位寄存器。8什么是并行输入和并行输出?什么是串行输入和串行输出?答:在CP脉冲的作用下,将出入信号D0-Dn并行存入到各级触发器中,称为并行输入。在并行输出指令脉冲的作用下,从寄存器各Q端或输出与门的输出端同时得到Q0-Qn信号,称为并行输出。在移位脉冲的作用下,从寄存器串行输入端输入串行数码称为串行输入。在移位脉冲的作用下,从寄存器输出端得到串行数码称为串行输出。9什么叫计数? 什么叫分频?答:在数字系统中,用计数器累计时钟脉冲的个数,称为计数。数字系统中,电路输出信号的频率是输入时钟脉冲频率的1/2、1/4.1/n等,称为分频。10什么叫异步计数器?什么叫同步计数器?它们各

5、有哪些优缺点?答:构成计数器的所有触发器(存储电路)状态的变更不都发生在同一时钟的同一时刻(CP或CP),称为异步计数器。电路简单,速度较慢。构成计数器的所有触发器(存储电路)状态的变更都发生在同一时钟的同一时刻(CP或CP),称为同步计数器。电路较复杂,速度快。11什么叫加减计数器?它有何特点?答:在计数控制信号作用下,可对时钟脉冲进行加减计数的电路叫加减计数器。特点是功能完善,使用灵活。能进行二进制数的加减运算。12试用D触发器构成3位异步二进制减法计数器。答:13试叙述用同步清零控制端和同步置数控制端构成N进制计数器的方法。答:详见杨志忠编数字电子技术基础教材232-234页利用反馈归零

6、法获得N(任意正整数)进制计数器利用反馈置数法获得N(任意正整数)进制计数器14试述用异步清零控制端和异步置数控制端构成N进制计数器的方法。答:同13题15试用CT74LSl62的同步清零和同步置数功能构成三十五进制计数器。答:同步清零功能构成三十五进制计数器 同步置数功能构成三十五进制计数器16试用CT74LSl63的同步清零和同步置数功能构成六十进制计数器。答:同步清零功能构成六十进制计数器 同步置数功能构成六十进制计数器17试用CT74LS290构成六十进制计数器。答:18试用CT74LSl91构成十进制计数器。答:19试述同步时序逻辑电路的设计步骤。答:见杨志忠教材246页(1)根据设

7、计要求确定电路的转换状态,并画出状态转换图。(2)状态简化。(3)状态分配。 2n+1N2n(4)确定触发器的类型,求出输出方程、状态方程和驱动方程。(5)检查所设计的电路能否自启动。不能自启动要修改设计。(6)根据驱动方程和输出方程画出逻辑电路图。20如何检查设计出来的同步时序逻辑电路能否自启动?答:将偏离状态逐个代入状态方程中进行运算后得到次态(或直接从卡诺图看出),若偏离状态的次态为有效循环中的任一状态,则电路可以自启动,若偏离状态的次态不是有效循环中的状态,则电路不能自启动。21设计序列脉冲发生器的状态数如何确定?如设计的脉冲序列为110110,应选用几个触发器?答:序列脉冲发生器的状

8、态数由给定的序列信号的循环长度M确定,如设计的脉冲序列为110110,则M=6, 应选用3个触发器。22设计同步时序逻辑电路如编码不同,它们的逻辑电路是否相同?答:不相同。思考题71施密特触发器的主要特点是什么?答:施密特触发器也称为射极耦合双稳态电路,它有两种稳定状态,在性能上有两个重要特点:(1)输入信号从低电平上升的过程中,电路状态转换时对应的输入电平,与输入信号从高电平下降过程中对应的输入转换电平不同。(两个触发电平)(2)在电路状态转换时,通过电路内部的正反馈过程使输出电压波形的边沿变得很陡。2在由门电路组成的施密特触发器中,怎样改变施密特触发器的回差?答:由回差电压 可知,改变R1

9、和R2的大小,可以改变回差大小。3施密特触发器是否具有存储二进制信息的能力?答: 输入信号不超过触发器的触发电平时,可保存当前的二进制信息;当输入信号超过触发器的触发电平,触发器状态发生翻转,不能存储二进制信息。4施密特触发器为什么能将边沿变化缓慢的信号变换成边沿陡峭的矩形脉冲?答:由其特点所致。原因有二:(1)输入信号从低电平上升的过程中,电路状态转换时对应的输入电平,与输入信号从高电平下降过程中对应的输入转换电平不同。(两个触发电平)(2)在电路状态转换时,通过电路内部的正反馈过程使输出电压波形的边沿变得很陡。5集成施密特触发器的主要优点是什么?答:集成施密特触发器具有较好的性能,其正向阈

10、值电压UT+和负向阈值电压UT稳定,具有较好的一致性,输出矩形脉冲的边沿十分陡峭,抗干扰能力强,使用方便,应用十分广泛。6施密特触发器主要有哪些用途?答:施密特触发器的用途很广泛,常用于波形的变换、整形、幅度鉴别等。7单稳态触发器有什么特点?它主要有哪些用途? 答:单稳态触发器的工作特性具有如下的显著特点: (1)它有稳态和暂稳态两个不同的工作状态; (2) 在外界触发脉冲作用下,能从稳态翻转到暂稳态,在暂稳态维持一段时间以后再自动返回稳态; (3)暂稳态维持时问的长短取决于电路本身的参数,与触发脉冲的宽度和幅度无关。 由于具备这些特点,单稳态触发器被广泛应用于脉冲整形、延时(产生滞后于触发脉

11、冲的输出脉冲)以及定时(产生固定时间宽度的脉冲信号)等。8什么叫微分型单稳态触发器?它的输出脉冲宽度如何计算? 答:单稳态触发器的暂稳态通常都是靠RC电路的充、放电过程来维持的。根据RC电路的不同接法(即接成微分电路形式或积分电路形式),又把单稳态触发器分为微分型和积分型两种。输出脉冲宽度按下试计算:9对于门电路组成的微分型单稳态触发器,如输人触发脉冲宽度大于输出脉冲宽度,对工作是否有影响?如有影响,应采用什幺措施加以改进?答:门电路组成的微分型单稳态触发器,如输人触发脉冲宽度大于输出脉冲宽度,则电路不能正常工作。出现这种情况,可在信号输入端接入一个RC微分电路。10对于非重复触发单稳态触发器

12、CT7412l来说,如输入触发脉冲宽度大干输出脉冲宽度,对工作是否有影响?为什么?答:没有影响,因CT7412l一旦被输入信号触发进入暂稳态,起内部G4与门已被/Q输出封锁,输入触发脉冲不起作用。11简述非重复触发单稳态触发器和可重复触发单稳态触发器的主要区别。答:集成单稳态触发器又分为非重复触发单稳态触发器和可重复触发单稳态触发器,非重复触发单稳态触发器,在触发进入暂稳态期间如再次受到触发对原暂稳态时间没有影响,输出脉冲宽度tw仍从第一次触发开始计算,可重复触发单稳态触发器,在触发进入暂稳态期间如再次被触发,则输出脉冲宽度可在此前暂稳态时间的基础上再展宽,因此,采用可重复触发单稳态触发器时能

13、比较方便地得到持续时间更长的输出脉冲宽度。12试述多谐振荡器的特点,其振荡频率主要取决于哪些元件的参数?为什么?答:多谐振荡器没有稳定状态,只有两个暂稳态。依靠电容的充电和放电使两个暂稳态相互自动交换。因此,多谐振荡器接通电源后便可输出周期性的矩形脉冲。其振荡频率可由下式计算: 改变电容充、放电回路中的RC值的大小,便可调节振荡频率。13在不对称多谐振荡器中。RF值非常大或非常小时,对电路工作有什么影响?为什么?答:RF值非常大或非常小时振荡器不易起振。14在对称多谐振荡器中,RF1和RF2值非常大或非常小时,电路能否正常工作?为什么?答:RF值非常大或非常小时振荡器不能正常工作。因RF1和R

14、F2分别提供两反相器静态偏置电压,使两反相器静态时工作在电压传输特性的转折区。15试比较多谐振荡器、单稳态触发器、双稳态触发器(触发器)、施密特触发器的工作特点,并分别说明它们的用途。答:1)施密特触发器有两个稳定状态,而每个稳定状态都是依靠输入电平来维持的。当输入电压大于正向阈值电压VT+时,输出状态转换到另一个稳定状态;而当输入电压小于负向阈值电压VT-时,输出状态又返回到原来的稳定状态。利用这个特性可将任意输入波形变换成边沿陡峭的矩形脉冲输出,特别是可将边沿变化缓慢的信号变换成边沿陡峭的矩形脉冲。施密特触发器具有回差特性,调节回差电压的大小,可改变电路的抗干扰能力。2)单稳态触发器有一个

15、稳定状态和一个暂稳态,在没有触发脉冲作用时,电路处于稳定状态。在输入触发脉冲作用下,电路进入暂稳态,经一段时间后,自动返回到稳定状态,从而输出宽度和幅度都符合要求的矩形脉冲。输出脉冲宽度取决于定时元件R、C值的大小,与输入触发脉冲没有关系。调节R、C值的大小,可改变输出脉冲的宽度。3)多谐振荡器没有稳定状态,只有两个暂稳态。依靠电容的充电和放电,使两个暂稳态相互自动交换。因此,多谐振荡器接通电源后便可输出周期性的矩形脉冲。改变电容充、放电回路中的RC值的大小,便可调节振荡频率。在振荡频率稳定度要求很高的情况下,可采用石英晶体多谐振荡器。16石英晶体多谐振荡器的特点是什么?其振荡频率与电路中的R

16、、C有无关系?为什么?答:在振荡频率稳定度要求很高的情况下,可采用石英晶体多谐振荡器。其振荡频率只取决于石英晶体本身固有谐振频率f0,而与电路中的RC值无关。因为只有频率为f0的振荡信号才能通过石英晶体反馈而使振荡器工作。17555定时器由哪几部分组成?各部分的作用是什么?答:555定时器由电阻分压器、电压比较器、基本RS触发器、MOS开关管和输出缓冲级组成。 电阻分压器由3个阻值相同的电阻R串联而成,为C1和C2两个电压比较器提供基准电压。电压比较器实现输入信号电压与C1和C2两个电压比较,比较输出作为基本RS触发器的触发输入。基本RS触发器受C1和C2两个电压比较器输出信号触发翻转,经缓冲

17、级输出。MOS开关管作为外接电容的放电回路开关。18555定时器主要有哪些用途?答:555定时器是一种用途很广的多功能电路,只需外接少量的阻容元件就可组成单稳态触发器、多谐振荡器和施密特触发器及各种应用电路。由于555定时器使用方便灵活,有较好的负载能力,因此,获得了广泛的应用。19555定时器组成的单稳态触发器,如输入负触发脉冲的宽度大干输出脉冲的宽度,应采用什么方法来保证电路正常工作?答:如输入负触发脉冲的宽度大干输出脉冲的宽度,则要在输入信号与电路输入端接入RC微分电路后,单稳态触发器才能正常工作。20由555定时器组成的施密特触发器采用什么方法来调整它的回差电压?答:由555定时器组成

18、的施密特触发器可采用在器件第五脚Co端,外加适当电压的方法来调整它的回差电压。21由555定时器组成的多谐振荡器在振荡周期不变的情况下,如何改变输出脉冲的宽度?答:由555定时器组成的多谐振荡器在振荡周期不变的情况下,调整电路的占空比可改变输出脉冲的宽度。 思考题81 DA转换器的作用是什么?可分为哪几种类型?答:DA转换器用以将输入的二进制数字信号转换成与之成正比的模拟电压。DA转换器的种类很多,常用的DA转换器有权电阻网络、R-2R倒T形和权电流型DA转换器。 2已知4位权电阻网络DA转换器的三个电阻为20 k、40 k、80 k,试问另一个支路的电阻为多大?答:另一个支路的电阻为160

19、k3在倒T形电阻网络DA转换器中,流经2R支路中电流的大小与电子模拟开关的位置有没有关系?为什么?答:流经2R支路的电流大小与电子模拟开关的位置没有关系,因为电子模拟开关的两个接点都接地(一个接虚地,另一个接实地),不管开关置于哪个接点,流过2R支路中电流的大小都是一样的。4和电阻网络DA转换器相比,权电流型DA转换器有什么优点?为什么?答:R-2R倒T形电阻网络DA转换器所需电阻种类少,转换速度快,便于集成化,但转换精度较低。权电流网络DA转换器转换速度和转换精度都比较高。 在前面讨论倒T形电阻网络DA转换器时,电子模拟开关看成是理想的。然而在实际、上这些开关都存在一定的、大小不等的电阻,其

20、上会产生大小不一的电压,这就不可避免地会引起转换误差。权电流型DA转换器它主要由权电流恒流源、运算放大器、电子模拟开关,和基准电压VREF组成。DA转换器采用恒流后,减少了电子模拟开关引起的误差,提高了转换精度。5 DA转换器的位数与分辨率有什么关系?为什么?答:DA转换器的位数与分辨率的关系如下式所示: 由上式可看出:DA转换器的位数n越多,能分辨的最小输出模拟电压就越小,转换精度越高。6实现AD转换要经过哪四个步骤?答:AD转换要经过取样、保持、量化与编码四个步骤实现。前两个步骤在取样一保持电路中完成,后两个步骤在AD转换器中完成。7何谓量化?何谓编码?编码后的量是模拟量还是数字量?答:要

21、将取样一保持电路输出的样值电压变换成与其成正比的数字量,还必须对样值电压进行量化,通常用数字信号最低位(LsB)1对应的模拟电压作为量化单位,用表示。将样值电压变为量化单位整数倍的过程称为量化。 将量化的结果用二进制代码表示,称为编码,量化与编码由AD转换器完成。编码后的量是数字量。8试问8位并联比较型AD转换器需用电压比较器和D触发器各需几个?答:各需255个。9试比较并联比较型、逐次渐近型和双积分型三种AD转换器的主要优点和缺点,指出它们各在什么情况下采用。答:(1)并联比较型AD转换器的主要优点是转换速度快,只要进行一次比较就能得出结果。主要缺点是电路比较复杂,成本高。通常用于高速度、低

22、分辨率的场合。(2)逐次渐近型AD转换器是一种反馈比较型AD转换器,转换速度比并联比较型慢,但比双积分型要快,属中速AD转换器,使用元件少,转换精度较高,在集成AD转换器中用得最多,使用很广泛。(3)双积分型AD转换器是一种间接转换型AD转换器,主要优点是工作稳定,抗干扰能力强,转换精度较高。主要缺点是转换速度慢。通常用于工作速度要求不高的场合。思考题91ROM主要由哪几部分组成?它的主要特点是什么?答:只读存储器(ROM)主要由地址译码器、存储矩阵和输出缓冲级等部分组成,其主要特点是存放的数据是固定不变的,不能随意改写。工作时。只能根据地址读出数据。ROM工作可靠,断电后,存储的数据不会丢失

23、,因此,它常用于存储固定数据的场合。只读存储器有固定ROM和可编程ROM。固定ROM由芯片制造厂向芯片写入数据,而可编程ROM则由用户向芯片写人数据。可编程ROM又分为一次性可编程的PROM和可擦除可编程的EPROM和E2PROM。 2在ROM中,什么叫字?什么叫位?存储器的容量如何表示?答:存储器中的一个基本存储单元能存储1个Bit的信息,也就是可以存一个O或一个l,所以存储容量就是该存储器基本存储单元的总数。一个内有8 192个基本存储单元的存储器,其存储容量为8 K(1 K=210=1 024);这个存储器若每次可以读(写)8位二值码,说明它可以存储1 K个字,每字为8位,这时的存储容量

24、也可以用1 K8位表示。3EPROM和EEPROM有哪些共同处和不同处?答:EPROM为电写入紫外线擦除型,EEPROM为电写入电擦除型,后者比前者快捷方便。4 PROM为什么可用来实现组合逻辑函数?答:PROM中的地址译码器为固定的与阵列,输出为输入地址变量的全部最小项。存储矩砗为可编程的或阵列,它输出的为相应输人最小项的和,为标准与一或表达式。而任何组合逻辑函数都可变换为标准与或表达式。因此,用PROM可实现组合逻辑函数。 5 RAM有哪些特点?它主要由哪几部分组成?各部分有什么作用?答:随机存取存储器(RAM)主要由存储矩阵、地址译码器和读写控制电路等部分组成。 存储矩阵用于存储二进制信

25、息。地址译码器分为行、列地址译码,用于选择相应的存储单元。读写控制电路用于控制存储单元的读、写操作。6什么叫存储容量?答:存储容量指存储器所能存放信息的多少,存储器中的一个基本存储单元能存储1个Bit的信息,也就是可以存一个O或一个l,所以存储容量就是该存储器基本存储单元的总数。 7静态RAM和动态RAM有哪些区别,动态RAM为什么要进行周期性刷新?答:随机存取存储器(RAM)分静态RAM和动态RAM。静态RAM的存储单元为触发器,工作时不需要刷新,但存储容量较小。动态RAM的存储单元是利用MOS管栅极高输入电阻(大于1010)在栅极电容上可暂存电荷的特点来存储信息的,由于栅极电容存在漏电,因

26、此,工作时需要周期性地进行刷新。MOS动态存储单元有单管、3管、4管等结构形式。动态RAM电路简单,功耗低,集成度高,常用于大容量存储器,但外围电路较复杂。8什么是位扩展?什么是字扩展?RAM的扩展有什么实际意义?答:一片随机存取存储器(RAM)的存储容量不够用时,可用多片RAM来扩展存储容量。如字数够用而位数不够用时,可采用位扩展接法;如位数够用而字数不够用时,可采用字扩展接法;如字数和位数都不够用时,刚应同时采用位扩展接法和宇扩展接法。目的是使存储器的存储容量扩大。9RAM和ROM有什么区别它们各适用于什么场合?答:随机存取存储器(RandomAccessMemory,简称RAM)是一种能

27、够选择任一存储单元写入(存人)或读出(取出)数据的存储器,简称RAM,又称读写存储器。读出操作时,原信息保留;写人操作时,新信息取代原信息。RAM的最大优点是读写方便,使用灵活。它的最大缺点是电路失电后存储器中的数据将全部丢失。它主要用于存放一些临时性的数据或中间结果。只读存储器(ReadOnlyMemory简称RoM)是用以存储周定信息的部件存储的信息一旦写人后就不能改变。工作时,它只能读出不能随意改写。断电后,存储的数据不会丢失。只读存储器主要用于存放需要长期保存的常数、表格、程序、函数和字符等固定不变的信息。思考题10 1可编程逻辑器件有哪些种类?它们的共同特点是什么? 2PAL器件的输

28、出电路结构有哪些类型,各种输出电路结构的PAl。器件分别适用于什幺场合? 3比较GAL和PAL器件在电路结构形式上有何异、同点。 4CPLD和FPGA在电路的基本结构形式上有何不同 PLD是本世纪80年代以后迅速发展起来的一种新型半导体数字集成电路,它的最大特点是可以通过编程的方法设置其逻辑功能。本章的重点在于介绍各种PLD在电路结构和性能上的特点,以及它们都能用来实现哪些逻辑功能。适用在哪些场合。 到目前为止,已经开发出的PLD有FPLA、PAL、GAL、EPLD、FPGA以及 ISP PLD等几种类型。 FPLA和PAL是较早应用的两种PLD。这两种器件多采用双极型、熔丝工艺或UVCMOS

29、工艺制作,电路的基本结构是与 或逻辑阵列型。采用熔丝工艺的器件不能改写,采用UVCMOS工艺的擦除和改写也不甚方便。但由于采用这两种工艺制作的器件可靠性好,成本也较低,所以在一些定型产品中仍然在使用。 GAL是继PAL之后出现的一种PLD,它采用E2CMOS工艺生产,可以用电信号擦除和改写。电路的基本结构型式仍为与一或阵列型式,但由于输出电路作成了可编程的OLMC结构。能设置成不同的输出电路结构,所以有较强的通用性。而且,用电信号擦脒比用紫外线擦除要方便得多。 FPLA、PAL和GAL的集成度都比较低,一般在千门以下,因此叉把它们统称为低密度PLD。 EPLD是采用UVCMOS工艺制作的高密度

30、PLD,集成度可达数千门。它的电路结构型式类似于GAL,由若干个与或阵列模块和一些OLMC组成,可以构成较大的数字系统。这种结构的优点是信号传输时间较短,而且是可预知的。 另一种高密度PLD是FPGA。这类器件采用CMOS SRAM工艺制作,电路结构为逻辑单元阵列型式。每个逻辑单元是可编程的,可以组成规模不大的组合或时序电路。单元之问可以灵活地互相连接,没有与一或阵列结构的局限性。但由于编程数据是存放在器件内部的静态随机存储器中的,一旦停电后这些编程数据便会丢失,所阱每次开始工作时需要重新装载编程数据。此外,在将逻辑单元连接成复杂的系统时,不同的信号传输途径传输延迟时间也不同,这也是设计时必须

31、考虑的一个因素。 近几年出现的ISP-PLD采用ECMOS工艺制作,编程数据写入E2CMOS存储单元后,停电时数据不会丢失,因而克服了FPGA中数据易失的缺点。由于将编程控制电路和高压脉冲发生电路集成于ISPPLD内部,所以编程时不需要使用编程器,并且可以在系统内完成,不用将器件从电路板上取下。ISPPLD的应用进一步提高了数字系统设计自动化的水平同时也为系统的安装、调试、修改提供了更大的方便和灵活性。 各种PLD的编程工作都需要在开发系统的支持下进行。开发系统的硬件部分由计算机(一般的PC机就可以)和编程器组成,软件部分是专用的编程语言和相应的编程软件。开发系统的种类很多,性能差别很大,各有一定的适用范围。因此,在选择PLD的具体型号时必须同时考虑到使用的开发系统能否支持这种型号PLD的编程工作。

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 社会民生


经营许可证编号:宁ICP备18001539号-1