热电偶、热电阻的接线及校验.doc

上传人:scccc 文档编号:12371792 上传时间:2021-12-03 格式:DOC 页数:4 大小:193.50KB
返回 下载 相关 举报
热电偶、热电阻的接线及校验.doc_第1页
第1页 / 共4页
热电偶、热电阻的接线及校验.doc_第2页
第2页 / 共4页
热电偶、热电阻的接线及校验.doc_第3页
第3页 / 共4页
热电偶、热电阻的接线及校验.doc_第4页
第4页 / 共4页
亲,该文档总共4页,全部预览完了,如果喜欢就下载吧!
资源描述

《热电偶、热电阻的接线及校验.doc》由会员分享,可在线阅读,更多相关《热电偶、热电阻的接线及校验.doc(4页珍藏版)》请在三一文库上搜索。

1、热电偶的接线及校验7、接线及调整变送器的接线原理如图所示。端子 4接24V电源正端、端子3为420mA 电流输出端,该端经负载电阻 R1后回到24V电源负端。 端子1、2接热电阻, 或 2接热电偶正, 1接热电偶负。变送器有零点及量程调节电位器,便于用户进 行微量调整。负载电阻:三线制 010mA : 01500Q二线制 420mA : 0600Q上海自动化仪表三厂生产的 SBWR 热电偶变送器为二线制。8、校验方法:用于爆炸危险场所时,请注意防爆标志与防护等级;机电一体化温度变送器安装的环境必须是在 -20-+70°C内,当周围环境温度太 高时, SBWZ/R 信号转换器和显示模块

2、可以与热电阻或热电偶分离安装。 我厂配 有分离安装变送器的专用防爆盒。加电前,请仔细检查电源的正负极性,不能接错,否则可能造成不可知的后 果。SBW信号转换器模块用环氧树脂灌封固化,以加强其防震性能,并防湿、 防腐、防潮。温度变送器使用六个月后需进行校验。a、热电阻温度变送器校验方法 设备要求:数字电压表一台; 按系统连接方法接线; 根据变送器铭牌上标明的传感器和量程范围,输入相应的阻值,使输出为1V和5V (可分别调整零点电位器和满度电位器);按量程十等分点输入各电阻值,检查各温度输出是否符合精度范围; 按说明书技术指标进行测试,应符合技术要求。b、热电偶温度变送器校验方法 设备要求:数字电

3、压表一台; 按系统连接方法接线;根据变送器铭牌上标明的传感器和量程范围, 输入相应的阻值,使输出分别 为1V和5V (可分别调整零点电位器和满度电位器);按量程十等分点输入各电势值,检查各温度输出是否符合精度范围; 按说明书技术指标进行测试,应符合技术要求。五、接线方式:热电阻三线制变送器安装接线图热电阻二丝制变送器安装接线图热电偶变送器安装接线图导轨式变送器安装接线图一体化液晶显示变送器接线图六、热电偶温度变送器校验步骤:1、 校验时,在输入端接入电位差计,输岀信号为电动势,在输岀端接上24VDC稳压电源并串 接上标准电流表。2、 调零:反接信号输入线,使电位差计输岀校验现场室温对应电动势,

4、调整电位器乙使电 流表读数为4mA3、 调满:正接信号输入线,使电位差计输岀满量程对应电动势,调整电位器S,使电流表读 数为20mA (该电动势为满度电动势减去室温对应电动势后的值)例:在校验现场室温为 7C,输入信号为K,量程为01000C的温度变送器标定,通过查表得知7°C对应电动势为 0.277mV, 1000C对应电动势这 41.269mV,反接后,电位差计输出 0.277mV,调整电位 器乙使电流表读数为4mA正接后电位差计输出读数为 40.992mV( 41.269mV0.277mV),调整电位器S, 使电流表读数为20mA七、热电阻温度变送器校验步骤:1、 标定时,按以

5、上典型接线图接线,在输入端接入标准电阻箱(如ZX-25a),在输岀端接上24VDC稳压电源并串接上标准电流表。2、 改变信号源发生器(电阻箱),使之等于量程的下限值,调整电位器乙使电流表的读数为4mA改变信号源,使之等于量程的上限值,调整电位器S,使电流表的读数为 20mA即可。例:输入型号为Pt100量程为0100C的温度变送器。正确接线后,电阻箱输出100Q,调整电位器乙使电流表读数为 4mA电阻箱输出读数为138.50 Q (即热电阻在100C时相对应的电阻值),调整电位器S,使电流表的读数为 20mA参考接线图:4-2DmA.DC+24V.DC零点调整 zero setting24V.DC量程调整measuringJ 2叶厲,DC呂L;250Qrange setting显示记录及计算机系统display, record and computer system量程调整measuring range setting显示记录及计算机系display, record and computer system

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 社会民生


经营许可证编号:宁ICP备18001539号-1