低频数字式相位测量仪毕业论文.doc

上传人:本田雅阁 文档编号:2049424 上传时间:2019-02-08 格式:DOC 页数:33 大小:2.54MB
返回 下载 相关 举报
低频数字式相位测量仪毕业论文.doc_第1页
第1页 / 共33页
低频数字式相位测量仪毕业论文.doc_第2页
第2页 / 共33页
低频数字式相位测量仪毕业论文.doc_第3页
第3页 / 共33页
亲,该文档总共33页,到这儿已超出免费预览范围,如果喜欢就下载吧!
资源描述

《低频数字式相位测量仪毕业论文.doc》由会员分享,可在线阅读,更多相关《低频数字式相位测量仪毕业论文.doc(33页珍藏版)》请在三一文库上搜索。

1、 核准通过,归档资料。 未经允许,请勿外传! 核准通过,归档资料。 未经允许,请勿外传! 摘 要 频率、相位测量仪器在生产和科研的各个部门被广泛应用。实现测量的数字化. 自动化.智能化已成为现在应用的需要,对测量精度的要求也越来越高。 针对传统的测频法和测周法测量精度不高的缺陷,即测频法不宜测低频和测周 法不宜测高频.本论文介绍了一种基于数字频率计原理,以 AT89C51 单片机为控制器 件的新型频率测量方法:等精度测量方法。利用同步门控制单片机的双计数器进行 “相关计数”,应用单片机的数学运算和控制功能,实现了高低频率等精度测量, 克服了通用频率计由于1MSB 误差在高低频段测量精度不等的缺

2、陷,既满足测量精 度的要求,又满足系统反应时间的要求。用此方法实现的频率计具有高精度、低成本、 易改进的特点,具有一定的实用性。本文主要介绍了其系统构成和工作原理,以及 9JWKffwvG#tYM*Jg such precision; Error; Testing of devices; Pairs; Counter 目 录 绪绪 论论 1 1 第一章系第一章系统统工作原理工作原理 3 3 11 频率测量原理 .3 12 相位测量原理 .4 第二章系统总体设计思路及方案分析第二章系统总体设计思路及方案分析 5 5 21 测频 .5 211 脉冲数倍频测频法 5 212 脉冲数分频测频法 5 2

3、13 测频-测周结合法 .5 214 多周期等精度测量方法 6 22 测相 .8 221 脉冲填充计数测相法 8 222 多周期等精度测相法 8 第三章系统硬件设计电路第三章系统硬件设计电路 1111 31 测频电路设计 11 311 信号放大整形电路 .11 312 外部分频电路 .13 313 同步门逻辑控制电路 .14 314 与单片机接口显示电路 .15 315 扩展报警电路 .16 32 测相电路设计 18 321 前级放大整形电路 .18 322 相位差测量电路 .20 323 相位极性判别电路 .21 第四章系统软件设计第四章系统软件设计 2222 41 主要任务 22 42 系

4、统流程设计 22 43 总体流程图 23 结论与分析结论与分析 2424 致谢致谢 2525 参考文献参考文献 2626 附录附录 2727 绪 论 随着无线电技术的发展与普及, “频率”已成为广大群众所熟悉的物理量调节收 音机上的频率刻度盘可使你选听到你喜欢的电台节目;调节电视机上的微调旋钮可 使得电视机对准电视台的广播频率,获得图象清晰的收看效果,这些已成为人们的 生活常识。频率的应用在当代高科技中显的尤为重要,例如,邮电通讯,大地测量, 人造卫星的导航定位控制都与频率密切有关,其精密度与准确度比人们日常生活中 的要求高的多罢了。相位测量技术在国防.科研.生产等各个领域都有广泛应用,特 别

5、在电力.机械等部门要求精度测量低频相位,采用传统的模拟指针式相位测量仪表 显然不能够满足所需的精度要求。随着电子技术与微型计算机技术的发展,数字式 仪表因其高精度的测量分辨率以及高度的智能化.直观化的特点得到越来越广泛的应 用,对相位测量的要求也逐步向高精度.高智能化方向发展。可见,随广泛应用的需 要,高精密.高准确.高智能化是大势所趋.一般的测量仪测量范围有限,随着电子技 术的发展,高频信号的测量也越来越受的亲睐,实现测量的数字化.自动化.智能化 已成为各类仪器仪表的设计方向。 现在频率的测量仪器突破传统的测量方法,以单片机为核心来设计的,利用外 围电路,软硬件结合,实现了测量量程的自动切换

6、,具有较高的测量精度和较短的 系统反应。这样设计测量误差小,价格低,结构简单,适应了发展的需要。相位测 量也是以单片机为核心的,利用单片机的高数据处理能力,存储容量大,较多的并 行口能满足外围设备.芯片扩展需要。数字显示相位仪不断的涌现,具有速度高.只 能化.电路简单.工作可靠等特点。 随着科技的发展,频率的测量应趋于以下几个特点: 测量精度高。由于有着各种等级的时频标准源,而且采用无线电波传递标准 时频方便.迅速.实用。所以在人们能进行测量的成千上万个物理量中,频率测量所 能达到的分辨和准确度是最高的。 测量范围广。现代科学技术中所涉及到的频率范围是极其广泛的,从百分一 赫兹甚至更低频率开始

7、,一直到 10K Hz 以上。处于这么宽范围内的频率都可以做到 高精度的测量。 频率信息的传输和处理,如倍频.分频和混频等都比较容易,并且精度也很高, 这使得对各不同频段的频率测量能机动.灵活的实施。相位的测量应更趋于数字化. 智能化.精确化。 本论文设计的主要任务为: 设计并制作一个频率计,包括: 完成频率计电路设计,实现对 010KHZ 信号频率的测量。 频率测量误差小于 5HZ。 频率计数器 8 位数字显示电路,完成显示自检.初始化和测量结果的显示。 设计测量超限报警电路 设计并制作一个相位测量仪,包括: 设计相位测量电路,对 1MHZ 信号频率的两个信号进行相位的测量,两信号 的相位差

8、90 度。 相位测量误差小于 5 度 c 显示相位测量结果,标记出超前.滞后。 本论文主要详细介绍系统的硬件设计,共分为四章,第 1 章是“系统的工作原 理” ,介绍了传统的测频和测相的工作原理,是本设计的依据和出发点。第二章是 “系统总体设计及思路分析” ,着重介绍了本设计的大体思路和不同的设计方案,并 比较了不同方案的优缺点,选择出设计的最佳实现方法。第三章是“系统的硬件设 计” ,分模块具体介绍了系统的硬件设计实现方法,对所用芯片、功能原理和参数计 算都作了详尽的介绍。第四章是“系统的软件设计” ,对基于系统硬件设计的软件实 现方法作了大概的讲解,以便对总体的软件设计有所了解。 东华理工

9、学院毕业设计(论文) 系统工作原 理 第一章 系统工作原理 11 频率测量原理 若某一信号在 T 秒内重复变化 N 次,则根据频率的定义,可知该信号的频率 Fzw 为: Fz=N/T 由此传统的测频方法通常有两种:一是直接测频法,二是测周法。 所谓的直接测频法是根据频率的定义,把被测频率信号经脉冲形成电路后,加 到闸门的一个输入端,只有在闸门开通时间 T(以秒计)内,通过计数器计数被测 信号的脉冲周数 N,从而通过频率定义计算出被测频率。直接测频的实现框图如图 所示,脉冲形成电路将被测信号转变成脉冲,其重复频率等于被测信号频率 fx,将它送入闸门。闸门的开闭时间由门控信号控制。脉冲为在开门时间

10、 T 内 通过闸门的脉冲,被送至计数器计数,时基信号发生器产生准确的开门时间 T,若 在开闸期间计数器计数值为 N,则被测信号频率为:fx=N/T 脉冲形成 闸 门 计 数 器 门 控 电 路 时基信号发生电路 图 1-1 直接测频法原理框图 根据误差绝对值合成法则,直接测频误差为: 11 xCo xCxo fff fNfTff 上式右边第二项通常忽略不计,当被测信号频率低时,那么产生的误差就较大了, 所以测频不宜用于测量低频信号。 所谓的测周法是通过测量被测信号的周期来计算频率的,其测量原理框图如图 所示。被测信号经脉冲形成电路变成方波,加到门控电路形成门控信号 Tx控制闸门 开闭,在开闸期

11、间,周期为 To的时基信号通过闸门送计数器计数。设电子计数器计 得的时钟脉冲个数为 N,则有: Tx=NT0 fx=1/Tx=1/NT0=f0/N 东华理工学院毕业设计(论文) 系统工作原 理 时 基 信 号 K 分 频 闸 门 计 数 器 门 控 电 路 脉 冲 形 成 T0 fx 门控信号Tx 图 1-2 测量周期的原理 这种测量方法产生的总误差为两项合成值: xo xoxo Tfk TfTf 上式右边第一项为1 误差,第二项为标准频率误差,通常可忽略不计。可见当 T0 一定时,被测信号频率 fx愈高,Tx愈小,由1 误差引起的测量误差就愈大,所以 测周法不宜用于测量高频率信号。 12 相

12、位测量原理 信号波形的表达式为 U=msin(t0) 式中m是电压振幅; 为角频率; 0为初相位。设两同频率的正弦波信号为 1=1sin(t1) 2=2sin(t2) 相角差为 =12是一个常数,并且等于两正弦量的初相之差。 传统测相方法比较多,有用示波器测量的,但这样直接测量的误差比较大。有 把相位差转换为电压,即利用非线性器件把被测信号的相位差转换为电压或电流的 增量,在电压表或电流表盘上刻度上的相位刻度,由电表指示可直读被测信号的相 位差。有把相位差转换为时间间隔进行测量。测量出两正弦波过零点的时间差T 和其周期 T,则 =(T/T)180。 东华理工学院毕业设计(论文) 系统总体设计思

13、路及方案分 析 第二章 系统总体设计思路及方案分析 21 测频 结合传统的测频方法,实现一个宽频域.高精度的频率计,直接用传统的测周或 者测频法难以实现,测周法在高频段误差较大,而测频法在低频段的误差较大。 211 脉冲数倍频测频法 此法克服了传统的测频在低频测量时精度不高的缺陷。通过A倍频,把待测信号 频率放大A倍,以提高测量精度。其待测频率为: fx=NAT 但待测信号脉冲间隔减小,间隔误差降低,控制电路较复杂。 212 脉冲数分频测频法 此法克服了传统的测周期法在测高频精度不高的缺陷。由于传统测周法测量时 要求待测信号的周期不能太短,所以可通过A分频使待测信号的周期扩大A倍,所测 频率为

14、: fx=AN/T 精度在高频虽然有所提高,但控制电路有点复杂。 213 测频-测周结合法 鉴于两种测量方法的测量缺陷。由此想到将两者结合,同时使用两种方法,在 高频段用测频法,在低频段用测周法,设置一个划分界限,例如 10KHZ,用软件来 实现量程的自动切换。其系统框图为: 软 件 判 断 低于10KHZ 测 周 法 测 频 法 被测信号 高于10KHZ 图 2-1 系统框图 这样测量的误差比较大,因为闸门的开闭与被测脉冲周期没有联系,即不同步, 东华理工学院毕业设计(论文) 系统总体设计思路及方案分 析 设 T 为门控闸门时间,Tx为被测信号周期,t1为闸门开启到第一个计数脉冲之间 的间隔

15、,t2为闸门关闭到下一个计数脉冲之间的间隔,N 为计数器的计数值,那 么: T=(N1)Txt1(Txt2) =NTXt1t2 N=T/TxN=t1/Txt2/Tx 这样所计的数 N 的误差 N 就比较的大。 214 多周期等精度测量方法 为避免以上缺陷,实现高精度的测量,可以采用多周期同步测量方法。用该方 法测量可以直接读出频率值和周期值,可以在全频段上使测量精度保持一致,实现 等精度测量。测量原理图如下: 频标f0 待测频率fx 测量开始 预置门时间Tg 同步门时间Tx 待测计数值Nx 频标计数值N0 图 2-2 测量原理图 当测量开始后,由被测信号的上升沿同时打开预置门和同步门启动两个计

16、数器 分别对标准频率信号和待测信号同时开始计数。到达预置时间Tg后,预置门关闭, 但两个计数器并不停止计数,随后而至的待测信号的上升沿到来时,同步门关闭, 两个计数器才同时停止计数,测得的计数值分别为N0和Nx。那么: fx/Nx=f0/N0 对其进行误差分析:设所测频率的准确值为fx0。在一次测量中,由于fx计数的 停止时间是由该信号的上升沿控制的,因此,在Tg时间内对fx的计数Nx无误差。在 此时间内f0的计数N0最多相差一个脉冲,即N01,则下式成立: fx/Nx=f0/N0 fx0/Nx=f0/(N0N0) 东华理工学院毕业设计(论文) 系统总体设计思路及方案分 析 由此可分别摧得:

17、fx=(f0/N0)Nx fx0=f0/(N0N0)Nx 根据相对误差公式有: fx0/fx0=fx0fx/fx0 将上面的式子进行整理后可得: fx0/fx0=N0/N0 因为 N01 所以 N0/N01/N0 即相对误差: f=fx0/fx01/N0 其中: N0=Tsf0 由上式可以得出结论: 相对误差f与被测信号频率无关; 增大Tg或提高f0可以增大N0,从而减小测量误差,提高测量精度; 测量精度与预置门宽度和标准频率有关,与被测信号频率无关; 标准频率误差为f0/f0,由于石英晶体的频率稳定度很高,标准频率误差很小。 由于控制计数的两闸门的大体时间Tg是由人工预置的,通常Tg不一定是

18、被测信 号的整数倍,因此用同步门控电路将Tg延长至TX保证闸门与被测信号同步,使闸门 时间准确地等于被测信号周期的整数倍数,由于闸门时间与被测信号同步,Nx不存 在1的计数误差,使得测量误差与被测信号无关,这样,可以通过对较少的低频脉 冲的测量达到同样的精度,提高了对低频信号的测量速度。等精度测频的原理框图 如下: 被测信号 输 入 通 道 fx 闸 门1 可控计数器1 同 步 可 控 电 路 闸门时间 预置电路 闸 门 2 Tg 可控计数器2 单片机控制器 时 钟 脉 冲 Tx 图2-3 等精度测频原理框图 东华理工学院毕业设计(论文) 系统总体设计思路及方案分 析 22 测相 221 脉冲

19、填充计数测相法 基于测相原理:把相位差转换为时间间隔,先测量出时间间隔再换算为相位差, 采用脉冲填充计数法,将正弦波信号整形成方波信号,其前后沿分别对应于正弦波 的正相过零点与负相过零点,对两路方波信号进行“异或”操作后得到这两路信号 的相位差信号 A,将相位差与晶振的基准频率信号 B 进行“与”操作,得到一系列 的高频窄脉冲序列 C。使用两个计数器分别对该脉冲序列和基准源脉冲序列进行同 时计数得到两个计数值 N0和 N1,再对计数进行计算处理,即可得出两信号的相位差: =(N1/N2)180. 这种单周期的对相位的测量计数方法,测量误差有点大,因为计数器是用单片 机的定时/计数器 T0.T1

20、进行计数的,开始计数时与被测信号不同步,计数一定时间 后停止计数也是随机的,与被测信号无关,这样的话测得的脉冲个数与实际脉冲数 就存在1 误差,大大影响了测量精度。 放 大 整 形 过 零 鉴 相 T 变 换 相位差 信号 闸 门1 计 数 器 1 单 片 机 控 制 闸 门 2 计 数 器 2 f1 f2 晶振信号 图 2-4 测相的原理框图 222 多周期等精度测相法 基于测频等精度测量法思想,实现相位差的高精度测量,通过同步门控制使测 量信号的宽度为输入信号的整数倍,实现多周期同步等精度测量。设置预置闸门时 间 T1,同步控制电路使计数时间 T2延长至被测信号脉冲的整数倍,使计数时间与被

21、 测信号脉冲保持同步,大大提高了测量精度,测量原理图如下: 东华理工学院毕业设计(论文) 系统总体设计思路及方案分 析 A B A B C 闸门信号 100ms定时 D T1 实际闸门 100ms t 图 2-5 测相等精度测量原理图 其系统框图为: 放 大 整 形 AA B B 鉴 相 器 C+ 同步闸门 分 频 8MHZ T1 INT1 INT0 T0 P1.0 89C51 晶振信号 图 2-6 测相系统框图 其中 8MHZ 的晶振信号的由下图产生: 1 11 360 360 0.01uF 8MHZ 8MHZ 图 2-7 8MHZ 晶振产生电路 这是在多谐震荡器电路中接入石英晶体,组成的石

22、英晶体多谐震荡器.输出的频率稳 定性比较高,精度高. 东华理工学院毕业设计(论文) 系统总体设计思路及方案分 析 这种方法是对前一种的完善,都是将相位差转化成时间测量的方式,但前一种 误差较大,精度不高,后一种采用多周期等精度测量的方法,通过同步控制器,使 测量闸门控制宽度是被测信号的的整数倍,提高的精度,同时扩展了测量的范围, 对高频采用了分频技术,要直接测到频率太高的信号,硬件设备要求也必须高,成 本也高,为减少成本,提高测量范围,对频率太高 的信号在测量前对它实行分频, 例如 100MHZ 的信号经过 200 分频后就成 500KHZ,这样的频率 89C51 单片机是能接 受的,实现了测

23、量范围的扩展。 等精度测量相位的误差来源与等精度测频相同,主要是来自与量化误差1/n, 要尽量减少误差,应采用多周期平均值法,即多次测量取平均值。 东华理工学院毕业设计(论文) 系统硬件设计电路 第三章 系统硬件设计电路 31 测频电路设计 3、1、1 信号放大整形电路 一般被测信号都是小功率的正弦波,在被测之前要转换成等频率的方波,所以 在被测之前对信号要进行放大整形处理,放大器的品种很多,我们在着选择用价格 便宜的带有真差动输入的四低频率运算放大器 LM324,与单电源应用场合的标准运 算放大器相比,它有一些显著的优点。该四放大器可以工作在低到 3.0 伏或者高到 32 伏的电源下,静态电

24、流大致为 MC1741 的静态电流的五分之一(对每一个放大器 而言) 。共模输入范围包括负电源,因而消除了许多应用场合中采用的外部偏置元件 的必要性。输出电压范围也包含负电源电压。它有如下特点:短路保护输出。 真差动输入级。单电源工作:3.0 伏到 32 伏。低输入偏置电流:最大 100 纳安。 每一封装四个放大器,内部补偿。共模范围扩大到负电源。在输入端的静电 放电箱位增加可靠性而不影响器件工作。每一组运算放大器可用图 1 所示的符号来 表示,它有 5 个引出脚,其中“+” 、 “-”为两个信号输入端, “V+” 、 “V-”为正、负 电源端, “Vo”为输出端。两个信号输入端中,Vi-(-

25、)为反相输入端,表示运放输 出端 Vo 的信号与该输入端的相位相反;Vi+(+)为同相输入端,表示运放输出端 Vo 的信号与该输入端的相位相同。LM324 的引脚排列见图 3-1。 图 3-1 LM324 引脚 其放大电路如图所示 东华理工学院毕业设计(论文) 系统硬件设计电路 - + LM324 ui +vcc ci R4 Rf R3 C2 R1 R2 C0 U0 Ci 100k 100k 4。7uF ui 2.5v u0 图 3-2 放大电路 1 图 3-3 放大信号 1 其中 Ci 起隔直通交的作用,通常用 0.47uF,电路的电压放大倍数 Av 仅由外接电 阻决定:Av=1+Rf/R4

26、。在这里设置放大倍数为 11,选择 Rf 为 10K,R4 为 100K,因 为考虑到 LM324 的工作电压范围大从 3 伏到 32 伏。这样设计的同相交流放大器的输 入阻抗高,R1 和 R2 提供基准偏置电压为 1/2Vcc,保证输出的波形不失真的被放大, 如果不提供偏置电压,那么只有正半周期的信号能通过,负半周期的信号就被隔掉 了。R3 为输入阻抗选择阻值为 100K,C2 是滤波电容,电容值为 4.7uF,稳定偏置电 压的作用,当电压低时放电,电压高时充电,保证了偏置电压的稳定。是输入信号 总体抬高了 1/2Vcc,保证了全信号放大。 因 LM324 共模范围扩大到负电源,也可以这样设

27、计放大电路,比上电路更简便, 不用提供偏置电压,让其接正负电源,这样信号的负半部分就可以通过了。其电路 图 - + LM324 +5V -5V + ui Rf1 Rf2 R U0 + Ci t t ui u0 图 3-4 放大电路 2 图 3-5 放大信号 2 放大倍数 AV=1+Rf1/Rf2,R 为匹配电阻,一般取值为 Rf1 与 Rf2 的并联电阻值。 整形的实现选择电压比较器 LM339 芯片来实现,电压比较器是对输入信号进行 鉴幅与比较的电路,是组成正弦波发生电路的基本单元电路,LM339 集成块内部装 有四个独立的电压比较器,该电压比较器的特点是:(1)失调电压小,典型值为 2mV

28、;(2)电源电压范围宽,单电源为 2-36V,双电源电压为1V-18V;(3)对比 较信号源的内阻限制较宽;(4)共模范围很大,为 0(Ucc-1.5V)Vo;(5)差动 输入电压范围较大,大到可以等于电源电压;(6)输出端电位可灵活方便地选用。 外型及管脚排列如下图所示: 东华理工学院毕业设计(论文) 系统硬件设计电路 图 3-6 LM339 引脚图 LM339 类似于增益不可调的运算放大器。每个比较器有两个输入端和一个输出 端。两个输入端一个称为同相输入端,用“+”表示,另一个称为反相输入端,用 “-”表示。用作比较两个电压时,任意一个输入端加一个固定电压做参考电压(也 称为门限电平,它可

29、选择 LM339 输入共模范围的任何一点) ,另一端加一个待比较的 信号电压。当“+”端电压高于“-”端时,输出管截止,相当于输出端开路。当“- ”端电压高于“+”端时,输出管饱和,相当于输出端接低电位。两个输入端电压差 别大于 10mV 就能确保输出能从一种状态可靠地转换到另一种状态,因此,把 LM339 用在弱信号检测等场合是比较理想的。LM339 的输出端相当于一只不接集电极电阻 的晶体三极管,在使用时输出端到正电源一般须接一只电阻(称为上拉电阻,选 3- 15K) 。选不同阻值的上拉电阻会影响输出端高电位的值。因为当输出晶体三极管截 止时,它的集电极电压基本上取决于上拉电阻与负载的值。

30、另外,各比较器的输出 端允许连接在一起使用。 其整形电路如图所示: - + LM339 +5V U0 R上拉 U0 D W R1 图3-7 整形电路 要将正弦波进行整形,将 LM339 构成迟滞比较器,跳变点为一固定值,由 R1 和滑动 变阻器来决定跳变电压,选择跳变值大致为 2 伏,R1 为 10K。在正反馈电路中接入 一个非线性元件晶体二极管,加快比较器的响应速度,免除由于电路寄生耦合而产 生的自激振荡。利用二极管的单向导电性,分辨差别小于 U 的两个输入电压值。 312 外部分频电路 该电路主要是来扩展测频上限的,扩大频率测量范围的。因设计要求测频范围是 东华理工学院毕业设计(论文) 系

31、统硬件设计电路 010KHZ,是以单片机为核心的,从理论上讲,当单片机系统的时钟频率为 12MHZ 时,其内部计数器的最大计数频率为 500KHZ,考虑到信号的占空比等因素,实际测 量的最高频率低于 500KHZ。为实现设计的要求,必须对高于 500KHZ 的信号进行分 频处理,系统在单片机的控制下,结合分频电路,实现了测频的上限的扩展和测量 量程的自动切换,提高了频率计的实用价值和智能化程度。分频的实现可以用一片 计数器芯片 8254,成本低,电路简单,先介绍一下 8254 芯片,8254 是可编程定时/ 计数器,工作的最高频率为 10MHZ,每个芯片内部有三个独立的计数器,每个计数 器都有

32、自己的时钟输入 CLK.计数输出 OUT 和门控制信号 GATE,门控信号为输入信号, 用来禁止.允许或开始计数过程的。它有六种不同的工作方式,GATE 信号的控制作 用也不同。它的工作方式 2 是一种具有自动装入时间常数的 N 分频器。其工作特点 为:计数器计数期间,输入 OUT 为高电平,计数器回零时,输出一个宽度等于时钟 周期的负脉冲,并自动重新装入园计数初值,一个负脉冲过去后,输出有恢复高电 平并重新作减法计数。在计数器工作期间,如果向此计数器写入新的计数初值, 则计数器仍按原计数值计数,直到计数器回零并在输出一个时钟周期的负脉冲之后, 才按新写入的计数值计数。门控信号 GATE 为高

33、电平时允许计数。 要不要分频是用软件来判断自动实现的,利用 GATE 的控制作用,把它与单片机 的一个 I/O 口 P1.0相连,用其来控制计数器的工作,当软件识别不需要分频时,使 GATE 为低电平,计数器不工作,当需要分频时,把 GATE 门打开,设置好分频数 N, 就能实现所需的分频了。其与单片机的接口电路如图所示: CLK0 D0 . . D7 CS A1 A2 RD WR P0.0 . . P0.7 P2.0 P2.1 P2.2 RD WR P1.4 fx U0 8 2 5 4 AT89C51 GATE0 OUT0 图3-8 分频电路 313 同步门逻辑控制电路 同步门逻辑控制电路由

34、 D 触发器构成,这种触发器的动作特点是输出端状态的 转换发生在 CP 的上升沿,而且触发器所保存下来的状态仅仅取决于 CP 上升沿到达 东华理工学院毕业设计(论文) 系统硬件设计电路 时的输入状态。因为触发器输入端状态转换发生在 CP 的上升沿,那么可以利用这种 特点来实现实际闸门信号和被测信号的同步。在测量开始后,利用单片机的 P1.0 作 为预置门信号 Tg 的输出线,当 P1.0=1 时,在被测信号的上升沿作用下 D 触发器的 输出 Q=1,使得单片机的 INT0.INT1 同时为 1,启动单片机内部的定时/计数器开始 工作。其中,T0 对被测信号 fx进行计数,T1对内部频标 f0进

35、行计数。当预置门时 间到达 Tg后,预置门时间到达 Tg后,预置门关闭使得 P1.0=0,但触发器的输出 Q 仍 然为 1,因此两个计数器并不停止计数,直到随后而至的待测信号的上升沿到来时, 才使得 D 触发器的输出 Q=0,同步门关闭,两个计数器才同时停止计数。同步门控 制电路与单片机的接口电路如图所示: D CP C INT0 INT1 T0 T1 RXD TXD AT89c51 显示 P1.5 P1.6 图 3-18 测相显示电路 323 相位极性判别电路 相位测量电路中,只能给出相位差的大小,无法判断 波形的超前或者滞后,必须设计一个电路来完成此功能, 要解决判别出波形的超前或滞后,可

36、以将整形后的两列方 波波形分别输入到一个 D触发器的 D 和 CP 端中进行相位极 性判别,输出的信号送入单片机的 I/O 进行极性检测,判 断出波形的超前或滞后,电路图如下,当 U0超前 U1时,D 触发器的 Q 端输出高电平;反之 D 触发器的 Q 端输出低电平。 图 3-19 相位极性判别 但这样只是让单片机识别了相位的超前和滞后,人们还是不知道是超前还是滞后,必须将 检测的结果进一步处理才行,怎样才能让人知道呢?最简单的就是用一发光二极管,用发光二 极管的亮灭来代表相位的超前和滞后。把一发光二极管接至单片机的一 I/O 口,I/O 口输出的高 低信号控制发光二极管的亮灭。这样人们就可以

37、知道超前和滞后了。 (总的电路图见附录) D CP Q P1.3 Q f1“ f2“ f1“ f2“ 东华理工学院毕业设计(论文) 系统硬件设计电路 第四章 系统软件设计 41 主要任务 测频 系统的软件的设计主要是保证和硬件电路结合,正确地实现等精度测量。其主要任 务为:预置闸门 P1.0,控制分频闸门 P1.1,对被测信号和单片机内部频标计数,对数 据进行处理,高精度运算,显示测量结果,控制报警。 测相 其主要任务为:预置闸门 P1.0,控制被测信号分频闸门 P1.1,对相位差脉冲和晶振频 率计数,对数据进行处理,显示测量结果,显示相位极性(是超前还是滞后) 。 42 系统流程设计 鉴于测

38、频和测相所用设计思路相同,即等精度法。单片机处理的任务差不多, 其不同之处在与对数据的处理不同。 在开始工作后,或者完成一次频率测量,系统软件都进行测量初始化。测量初 始化模块.自检。工作寄存器.定时/计数器的工作方式,设立标志位(用来判断被测 信号是否要分频) ,外部计数器清零,清同步预置门,存储单元清空.定时/计数器的 工作首先被设置为计数器方式,即用来测量信号频率。在对定时/计数器的计数器清 零后,置运行控制位,启动对待测信号的计数,预置闸门由延时程序来实现。主程 序用来读取计数值,并完成对其处理,判断是否分频,对分频器进行控制,查超限报 警控制端。将数据送显示等功能。 东华理工学院毕业

39、设计(论文) 系统硬件设计电路 43 总体流程图 开始 初始化设置 打开预置闸门 启动定时/计数器 延 时 1 S 关闭预置门 数据处理 需分频吗 打开 分频 器的 GATE0门 Y N 送显 查询P1.5 状态 为高电平吗 置P1.6=1使 蜂鸣器响 延时1S 置P1.6=0停止 蜂鸣器发音 结束 开始 初始化设置 打开预置闸门 启动定时/计数器 延 时 1 S 关闭预置门 数据处理 需分频吗 打开分频器的GATE0门 Y N 查P1.2 状态控制发光二极 管显示相位超前或滞后 送显 打开分频器的GATE1门 分频晶振信号 图 4-1 测频流程图 图 4-2 测相流程图 东华理工学院毕业设计

40、(论文) 结论和分 析 结论与分析 本文介绍了一种基于单片机 89C51 制作的频率.相位计的设计方法,所制作的频 率.相位计需要的外围器件比较少,电路结构简单,适宜用于嵌入式系统。因所用芯 片比较的常见,成本小,测量的频域宽,使用等精度测量的思想使精度比较高,具 有体积小,功能强.可靠性好.显示稳定等特点,产品设计具有一定的创新与适用性, 对电子产品的开发具有一定的参考价值。同时可以在测频上进行扩展,使其成多功 能数字频率计,例如能测量信号的周期.脉宽.占空比等。并且具有一大优点功能: 报警。这是一般频率计所没实现的。但由于硬件器件的限制,没达到设计的具体要 求,设计要求频率计的测量范围为

41、010KHZ 的,但由于所用分频芯片 8254 的最高 工作频率为 8MHZ,所以没达到设计要求,如改用其它工作频率高的芯片来实现,是 可以达到设计要求的。另外报警电路设计部分,因是用硬件电路来实现的,受反应 时间的限制.理论计算和实际值的误差,可能会有较大的误差。可以在这部分改进一 下,例如不用电压比较器,把经频率/电压转换后的电压经一 A/D 转化,把模拟信号 转化成数字信号,再由单片机强大的信号处理功能来实现报警。这种方法就比较精 确。 致谢 设计能顺利完成特别感谢谢指导老师朱兆优.王海涛老师的耐心指导,感谢他们 在繁忙中抽出时间来给予大力的帮助和提出宝贵的意见。同时也感谢我身边的同学,

42、 我的搭档,在我遇到问题时去找他们时,他们都放下自己的事,不厌其烦的给我讲 解,并且给我提出新的思路供我参考,在此真诚的感谢他们,谢谢他们的帮助。 参考文献 1 周航慈 朱兆优 李跃忠 .智能仪器原理与设计.北京航空航天出版社, 2005.3 2 周航慈 . 单片机应用程序设计技术. 北京航空航天出版社,2004.1 3 张毅刚 . 单片机原理及应用 . 高等教育出版社,2004.1 4 童诗白 华成英 . 模拟电子技术基础. 高等教育出版社,2001(2003 重 印) 5 阎石 . 数字电子技术基础 . 高等教育出版社,1998.12(2003 重印) 6 张永瑞 . 电子测量技术基础.

43、西安电子科技大学出版社,2004.7 7 邹道生 . 多功能数字频率计的设计. 赣南师范学院学报.2004 年 6 期 8 刘乐善 . 微型计算机接口技术及应用. 华中科技大学出版社,2000.4 9 姜玉宏 . 基于 MCS-51 单片机的高精度数字测相方法. 重庆大学学报. 2004 年 4 期 10 赫建国 . 基于单片机的频率计设计. 西安邮电学院学报.2005 年 5 期 11 YANG Ni-qing A Way to realize precise frequency measure by single chip micrcomputer JOURNAL OF SHANDONG

44、UNIVERSITY 12 WU Shen-shan The frequency measure miter with microprocessor journal of Henan Normal University 13 SHAO Xiangbing The Emnerdded Design of the Intelligent Cymometer Based on AT89C2051 Journal of Nanjing Institute of Technology 附录 D0 . . D7 CS A1 A2 CLK0 WR RD GATE0 OUT0 P0.0 . . P0.7 P2

45、.0 P2.1 P2.2 WR RD P1.4 P1.0 INT0 INT1 T0 TXD RXD 显示 D CP Q 12345 1211 1098 + + + - - - 1 R1 R2 L M 2 9 0 7 C1 1000PF C2 0.47uF R2 200 10K LM339 100K 10K +Vcc -Vcc 10K +Vcc R上拉 LM324 LM339 C0 Ci CiU 8 2 5 4AT89C51 P1.5 P1.6 +Vcc Q0 Q1 Q2 Q3 Q0 Q1 Q2 Q3 CKCK 74KS39374KS393 R1 10K 测频系统总图 东华理工学院毕业设计(论文

46、) 附 录 D0 . . D7 CS A1 A2 CLK0 CLK1 GATE0 GATE1 OUT0 OUT1 P0.0 . . P0.7 P1.0 P1.1 P1.2 P1.3 P1.4 WR RD WR RD P1.5 INT0 INT1 D cp Q T1 P1.6 D CP Q 74LS136 RXD TXD 显示 8MHZ基准 信号 74LS00 f1 f2 4.7uF 4.7uF + - + + + + + + + - - - - - - - LM324 LM324 LM324 LM324 LM339 LM339 LM339 LM339 +Vcc +Vcc +Vcc +Vcc +

47、Vcc +Vcc+Vcc 10K 10K 1.1K 20K 20K 1.1K 20K 60K 20K 20K 20K 60K 20K 20K -Vcc -Vcc -Vcc -Vcc P1.7 8254AT89C51 东华理工学院毕业设计(论文) 附 录 D0 . . D7 CS A1 A2 CLK0 CLK1 GATE0 GATE1 OUT0 OUT1 P0.0 . . P0.7 P1.0 P1.1 P1.2 P1.3 P1.4 WR RD WR RD P1.5 INT0 INT1 D cp Q T1 P1.6 D CP Q 74LS136 RXD TXD 显示 8MHZ基准 信号 74LS00 f1 f2 4.7uF 4.7uF + - + + + + + + + - - - - - - - LM324 LM324 LM324 LM324 LM339 LM339 LM339 LM3

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 其他


经营许可证编号:宁ICP备18001539号-1