实习QuartusII9.0使用讲解.ppt

上传人:本田雅阁 文档编号:2154941 上传时间:2019-02-23 格式:PPT 页数:41 大小:1.71MB
返回 下载 相关 举报
实习QuartusII9.0使用讲解.ppt_第1页
第1页 / 共41页
实习QuartusII9.0使用讲解.ppt_第2页
第2页 / 共41页
实习QuartusII9.0使用讲解.ppt_第3页
第3页 / 共41页
亲,该文档总共41页,到这儿已超出免费预览范围,如果喜欢就下载吧!
资源描述

《实习QuartusII9.0使用讲解.ppt》由会员分享,可在线阅读,更多相关《实习QuartusII9.0使用讲解.ppt(41页珍藏版)》请在三一文库上搜索。

1、第三章 Quartus 9.0软件操作基础,Quartus软件的简介和工程的基本设计流程; 通过简单的实例演示各流程以及常用工具的使用方法,熟悉Quartus软件的用户界面、常用工具和设计流程。,ALTERA公司的专用开发工具,3.1 Quartus 9.0 软件的安装 (详见光盘2 quartus9.0sp2安装视频),把Quartus9.0安装光盘放入计算机的光驱中,在自动出现的光盘安装目录中选择安装Quartus软件和Megacore IP library两项,安装光盘将自动引导完成软件的安装; 软件安装完成之后,在软件中指定Altera公司的授权文件(License.dat),才能正常

2、使用 。 授权文件可以在Altera的网页上http:/申请或者购买获得。 安装Altera的硬件驱动程序。驱动程序存放在Quartus安装目录下的quartusdrivers文件夹中。驱动安装后才能将设计结果通过计算机的通信接口编程下载到目标芯片中。,3.2 Quartus 9.0软件的用户界面,双击桌面上的 QuartusII 9.0 图标,打开QuartusII 软件。,标题栏 标题栏中显示当前工程的路径和工程名。 菜单栏 菜单栏主要由文件(File)、编辑(Edit)、视图(View)、工程(Project)、资源分(Assignments)、操作(Processing)、工具(Too

3、ls)、窗口(Window)和帮助(Help)等下拉菜单组成。,工具栏 工具栏中包含了常用命令的快捷图标。 资源管理窗口 资源管理窗口用于显示当前工程中所有相关的资源文件。,3.2 Quartus 9.0软件的用户界面,工程工作区 当Quartus实现不同的功能时,此区域将打开对应的操作窗口,显示不同的内容,进行不同的操作,如器件设置、定时约束设置、编译报告等均显示在此窗口中。 编译状态显示窗口 此窗口主要显示模块综合、布局布线过程及时间。,信息显示窗口 该窗口主要显示模块综合、布局布线过程中的信息,如编译中出现的警告、错误等,同时给出警告和错误的具体原因。,3.2 Quartus 9.0软件

4、的用户界面,设计输入,设计修改,功能仿真,器件编程,在线校验,设计编译,时序仿真,系统产品,设计要求,3.3 Quartus 9.0软件开发流程,通用设计流程,3.3.1 如何建项目工程,1.打开软件,FileNew Project Wizard,3.3.1 如何建项目工程,由于Quartus II 只对项目进行编译,模拟,编程而是不对单独的文件进行,所以要进行设计第一步就是建工程。 对于一个设计,创建一个单独的目录,该目录的路径从根目录开始都必须是英文名称,任何一级目录都不能出现中文字样,且不能包含空格,否则在读文件时会发生错误。,需要注意地方:,3.3.1 如何建项目工程,在对话框中单击F

5、ile name 右侧的“ ”按钮,可以将与工程相关的所有verilog文件(如果有的话)加入进此工程,此工程文件加入的方法有两种:第1 种方法是单击“Add ”按钮,从工程目录中选出相关的verilog文件;第2 种方法是单击Add All 按钮,将设定的工程目录中的所有verilog文件加入到工程文件栏中。如果还没有建立verilog文件,就直接点击“Next”即可。,将本项目所需文件包含进来窗口,3.3.1 如何建项目工程,为本项目指定目标器件(芯片),1.选择器件系列,2.选择Auto 或具体器件,3.点击Next,选择封装,选择引脚数,速度等级,3.3.1 如何建项目工程,指定所需的

6、第三方EDA工具,用户可以选择所用到的第三方工具,比如ModleSim、Synplify等。在本例中并没有调用第三方工具,可以都不选。,点击Next或Finish,3.3.1 如何建项目工程,(1)输入设计文件有以下几种:,3.3.2 如何输入设计文件,1)新建工程之后,便可以进行电路系统设计文件的输入。选择file菜单中的New命令,弹出如图所示的新建设计文件类型选择窗口。产生一个新的图表模块/原理图文件 菜单: File New Block/Schematic document,(2)、输入设计文件原理图输入法,3.3.2 如何输入设计文件,2)选择New对话框 中的Device Desi

7、gn files页下的Block Diagram/Schematic File,点击OK,打开 如图所示的图形编辑器 对话框,进行设计文件 输入。,(2)、输入设计文件原理图输入法,3.3.2 如何输入设计文件,3)在图形编辑窗口中的任何一个位置双击鼠标,或点击图中的“符号工具”按钮,或选择菜单Edit下的Insert Symbol命令,弹出如右图所示的元件选择窗口Symbol 对话框。,(2)、输入设计文件原理图输入法,3.3.2 如何输入设计文件,4)用鼠标点击单元库前面的加号(+),库中的元件符号以列表的方式显示出来,选择所需要的元件符号,该符号显示在Symbol对话框的右边,点击OK按

8、钮,添加相应元件符号在图像编辑工作区中,连接原理图。注意:信号线标号(选中该线,点击右键,选择Properties并输入标号名即可)与总线标号名要一致。十进制同步计数器的原理图如下:,(2)、输入设计文件原理图输入法,3.3.2 如何输入设计文件,十进制同步加法计数器的仿真图如下:,3.3.2 如何输入设计文件,优点: 1)可以与传统的数字电路设计法接轨,即使用传统设计方法得到电路原理图,然后在Quartus平台完成设计电路的输入、仿真验证和综合,最后下载到目标芯片中。 2) 它将传统的电路设计过程的布局布线、绘制印刷电路板、电路焊接、电路加电测试等过程取消,提高了设计效率,降低了设计成本,减

9、轻了设计者的劳动强度。 缺点: 1)原理图设计方法没有实现标准化,不同的EDA软件中的图形处理工具对图形的设计规则、存档格式和图形编译方式都不同,因此兼容性差,难以交换和管理。 2)由于兼容性不好,性能优秀的电路模块的移植和再利用非常困难难以实现用户所希望的面积、速度以及不同风格的综合优化 3)原理图输入的设计方法不能实现真实意义上的自顶向下的设计方案,无法建立行为模型,从而偏离了电子设计自动化最本质的涵义。,原理图输入法优缺点:,3.3.2 如何输入设计文件,Quartus编译器的主要任务是对设计项目进行检查并完成逻辑综合,同时将项目最终设计结果生成器件的下载文件。编译开始前,可以先对工程的

10、参数进行设置。 Quartus软件中的编译类型有全编译和分步编译两种。 选择Quartus主窗口Process菜单下Start Compilation命令,或者在主窗口的工具栏上直接点击图标 可以进行全编译; 全编译的过程包括分析与综合(Analysis & Synthesis)、适配(Fitter)、编程(Assembler)、时序分析(Classical Timing Analysis)这4个环节,而这4个环节各自对应相应的菜单命令,可以单独分步执行,也就是分步编译。,3.3.3 如何编译设计文件,分步编译就是使用对应命令分步执行对应的编译环节,每完成一个编译环节,生成一个对应的编译报告。

11、分步编译跟全编译一样分为四步: 1、分析与综合(Analysis & Synthesis) :设计文件进行分析和检查输入文件是否有错误,对应的菜单命令是Quartus主窗口Process菜单下StartStart Analysis & Synthesis,对应的快捷图标是在主窗口的工具栏上的 ; 2、适配(Fitter):在适配过程中,完成设计逻辑器件中的布局布线、选择适当的内部互连路径、引脚分配、逻辑元件分配等,对应的菜单命令是Quartus主窗口Process菜单下StartStart Fitter;(注:两种编译方式引脚分配有所区别 ),3.3.3 如何编译设计文件,3、编程(Assem

12、bler):产生多种形式的器件编程映像文件 ,通过软件下载到目标器件当中去,菜单命令是Quartus主窗口Process菜单下StartStart Assembler; 4、时序分析(Classical Timing Analyzer):计算给定设计与器件上的延时,完成设计分析的时序分析和所有逻辑的性能分析,菜单命令是Quartus主窗口Process菜单下StartStart Classical Timing Analyzer ,对应的快捷图标是在主窗口的工具栏上的 。 编译完成后,编译报告窗口Compilation Report会报告工程文件编译的相关信息,如编译的顶层文件名、目标芯片的信

13、号、引脚的数目等。 全编译操作简单,适合简单的设计。对于复杂的设计,选择分步编译可以及时发现问题,提高设计纠错的效率,从而提高设计效率。,3.3.3 如何编译设计文件,仿真的目的就是在软件环境下,验证电路的行为和设想中的是否一致。 FPGA/CPLD中的仿真分为功能仿真和时序仿真。功能仿真着重考察电路在理想环境下的行为和设计构想的一致性,时序仿真则在电路已经映射到特定的工艺环境后,考察器件在延时情况下对布局布线网表文件进行的一种仿真。 仿真一般需要建立波形文件、输入信号节点、编辑输入信号、波形文件的保存和运行仿真器等过程。,3.3.4 如何建立仿真设计文件,1、建立波形文件 波形文件用来为设计

14、产生输入激励信号。利用QuartusII波形编辑器可以创建矢量波形文件(.vwf) 创建一个新的矢量波形文件步骤如下: (1)选择Quartus主界面File菜单下的New命令,弹出新建对话框; (2)在新建话框中选择Verification/Debugging Files文件下的Vector Waveform File,点击OK按钮,则打开一个空的波形编辑器窗口,主要分为信号栏、工具栏和波形栏 。,3.3.4 如何建立仿真设计文件,1、建立波形文件,3.3.4 如何建立仿真设计文件,波形编辑器窗口,2、输入信号节点 (1) 在波形编辑方式下,执行Edit菜单中的Insert Node or

15、Bus命令,或者在波形编辑器左边Name列的空白处点击鼠标右键,弹出的Insert Nodeor Bus对话框,或者在波形编辑器左边Name列的空白处双击左键,弹出的Insert Nodeor Bus对话框。,3.3.4 如何建立仿真设计文件,输出进制选择,2、输入信号节点 (2)点击Insert Nodeor Bus对话框中的Node Finder按钮,弹出Node Finder窗口,在此窗口中添加信号节点。,3.3.4 如何建立仿真设计文件,第一步 找到设计文件,第二步 点击引脚列表,第三步 选中仿真引脚,添加一个选拔中信号 添加全部信号 删除一个选中信号 删除已添加的全部信号,3、编辑输

16、入信号 编辑输入信号是指在波形编辑器中指定输入节点的逻辑电平变化,编辑输入节点的波形。 在仿真编辑窗口的工具栏中列出了各种功能选择按钮,主要用于绘制、编辑波形,给输入信号赋值。 具体功能如下: :在波形文件中添加注释; :修改信号的波形值,把选定区域的波形更改成原值的相反值; :全屏显示波形文件; :放大、缩小波形; :在波形文件信号栏中查找信号名,可以快捷找到待观察信号; :将某个波形替换为另一个波形;,3.3.4 如何建立仿真设计文件,:给选定信号赋原值的反值; :输入任意固定的值; :输入随机值 :U给选定的信号赋值,X表示不定态,0表示赋0,1表示赋1,Z表示高阻态,W表示弱信号,L表

17、示低电平,H表示高电平,DC表示不赋值。,:设置时钟信号的波形参数,先选中需要赋值的信号,然后鼠标右键点击此图标弹出Clock对话框,在此对话框中可以设置输入时钟信号的起始时间(Start Time)、结束时间(End Time)、时钟脉冲周期(Period),相位偏置(Offset)以及占空比。,3.3.4 如何建立仿真设计文件,:给信号赋计数值 ,先选中需要赋值的信号,然后鼠标右键点击此图标弹出如下图所示的Count Value对话框,然后赋值。,Count Value对话框的Counting页,Count Value对话框的Timing页,3.3.4 如何建立仿真设计文件,4、仿真波形文

18、件 Quartus软件中默认的是时序仿真,如果进行功能仿真则需要先对仿真进行设置,步骤如下: (1)选择Quartus主窗口Assignments菜单下的Settings命令,可以进入参数设置页面,如下图所示,然后单击Simulation Settings ,在右边的对话框中的Simulation mode中选择“Function”;,3.3.4 如何建立仿真设计文件,4、仿真波形文件 (2)选择Quartus主窗口Processing菜单下的Generate Functional Simulation Netlist命令,生成功能仿真网表文件; (3)选择Quartus主窗口Processi

19、ng菜单下的Start Simulation进行功能仿真。 功能仿真满足要求后,还要对设计进行时序仿真,时序仿真可以在编译后直接进行,但是要将上图中的Simulation mode中设置为”Timing”,设置好以后直接选择Start Simulation命令,执行时序仿真。,3.3.4 如何建立仿真设计文件,3.3.5 如何引脚锁定,引脚锁定是为了对所设计的工程进行硬件测试,将输入/输出信号锁定在器件的某些引脚上。单击“Assigments”菜单下的“Pins”命令,在弹出的对话框如右图所示,在下方的列表中列出了本项目所有的输入/输出引脚名。,3.3.5 如何引脚锁定,引脚锁定是为了对所设计

20、的工程进行硬件测试,将输入/输出信号锁定在器件的某些引脚上。单击“Assigments”菜单下的“Pins”命令,在弹出的对话框如下图所示,在下方的列表中列出了本项目所有的输入/输出引脚名。,3.3.5 如何引脚锁定,在上页图中,双击与输入端“clk”对应的“Location”选项后弹出引脚列表,从中选择合适的引脚,则输入“clk”的引脚锁定完毕(开发板上对应PIN_23)。同理完成其它引脚的锁定,如下图所示。,对设计进行验证后,即可对目标器件进行编程和配置,下载设计文件到硬件中进行硬件验证。 Quartus编程器Programmer最常用的编程模式是JTAG模式和主动串行编程模式AS。 JT

21、AG模式主要用在调试阶段,主动串行编程模式用于板级调试无误后将用户程序固化在串行配置芯片EPCS中。,3.3.6 如何编程下载设计文件,1、JTAG编程下载模式 此方式的操作步骤主要分为3步: 选择Quartus主窗口的Tools菜单下的Programmer命令或点击 图标,进入器件编程和配置对话框。如果此对话框中的Hardware Setup后为 “No Hardware”,则需要选择编程的硬件。点击Hardware Setup,进入Hardware Setup对话框,下页图所示,在此添加硬件设备。 配置编程硬件后,选择下载模式,在Mode中指定的编程模式为JTAG模式; 确定编程模式后,单

22、击 添加相应的counter.sof编程文件,选中counter.sof文件后的Program/Configure选项,然后点击 图标下载设计文件到器件中,Process进度条中显示编程进度,编程下载完成后就可以进行目标芯片的硬件验证了。,3.3.6 如何编程下载设计文件,3.3.6 如何编程下载设计文件,1、JTAG编程下载模式,选择硬件,选择下载模式,下载文件,删除文件,删除文件,添加目标芯片,选中此方框,AS主动串行编程式的操作步骤如下: (1)选择Quartus主窗口Assignments菜单下Device命令,进入 Settings对话框的 Device页面进行设置,见下页图所示。

23、(2)选择Quartus主窗口的Tools菜单下的Programmer命令或点击图标 ,进入器件编程和配置对话框,添加硬件,选择编程模式为Active Serial Program; (3)单击 添加相应的counter.pof编程文件,选中文件后的Program/Configure、Verify和Blank Check项,单击图标 下载设计文件到器件中,Process进度条中显示编程进度。下载完成后程序固化在EPCS中,开发板上电后EPCS将自动完成对目标芯片的配置,无须再从计算机上下载程序。,2、AS主动串行编程模式,3.3.6 如何编程下载设计文件,3.3.6 如何编程下载设计文件,选择硬件,选择下载模式,下载文件,删除文件,删除文件,添加目标芯片,选中这些方框,2、AS主动串行编程模式,按照一般编程逻辑设计的步骤,利用Quartus9.0软件进行开发主要包含以下几个步骤: (1)建项目工程; (2)输入设计文件(编写verilog程序或原理图文件等)【使用Text Editor】; (3)编译设计文件【使用Compiler】; (4)仿真设计文件【使用Waveform ditor,Simulator】; (5)安排芯片管脚位置【使用FloorplanEditor】; (6)编程下载设计文件至目标芯片【使用Programmer】 。,本章小结,

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 其他


经营许可证编号:宁ICP备18001539号-1