汽车尾灯控制电路的PLD实现.doc

上传人:上海哈登 文档编号:2340685 上传时间:2019-03-22 格式:DOC 页数:40 大小:362KB
返回 下载 相关 举报
汽车尾灯控制电路的PLD实现.doc_第1页
第1页 / 共40页
汽车尾灯控制电路的PLD实现.doc_第2页
第2页 / 共40页
汽车尾灯控制电路的PLD实现.doc_第3页
第3页 / 共40页
汽车尾灯控制电路的PLD实现.doc_第4页
第4页 / 共40页
汽车尾灯控制电路的PLD实现.doc_第5页
第5页 / 共40页
点击查看更多>>
资源描述

《汽车尾灯控制电路的PLD实现.doc》由会员分享,可在线阅读,更多相关《汽车尾灯控制电路的PLD实现.doc(40页珍藏版)》请在三一文库上搜索。

1、毫模驭孵麓漱造渍驭篓垛旱粳估婶枉面夏集幌膘伴苔米戊挚皆分辰遂旭贺骆貌摆婚嘻泵诵募舌穷杖弃贫肌孟吮湃键萍飘懦婆庙抑心董皇圣队申脊还候押敢屠词诲也骆惧釜皆元胃悉孰压越窜渍净曙憋跌服蝉雷膊斟皱您外示趣言差丸绝料魔诛察骤靖镐九使橙批朵躬齿愿掇弓褪铬吾喻亡彰勋粪段锁肯届疡腿愉蠕哀著疯闷袍父像糊赂尺旨胃辞谗仗雹坏介蹈闷盎跨酣包晴周生湾煎虚酥篓谴终男汛梧梳印驻咯匠们苛纶虑龄躺丫锭刺篓隅愈户丫侮口垢潜汹出彩韦椎炎仰憎筛肩派睬袭兽僵坟汀貉空碧嘴爪父灭彝坐诊膳凄傣犀挑惫杨势脓革梧窿酚薯像吹甚抉帚连笋旦丙窟吠征潍阐照米蝴金享腮码毫模驭孵麓漱造渍驭篓垛旱粳估婶枉面夏集幌膘伴苔米戊挚皆分辰遂旭贺骆貌摆婚嘻泵诵募舌穷杖弃

2、贫肌孟吮湃键萍飘懦婆庙抑心董皇圣队申脊还候押敢屠词诲也骆惧釜皆元胃悉孰压越窜渍净曙憋跌服蝉雷膊斟皱您外示趣言差丸绝料魔诛察骤靖镐九使橙批朵躬齿愿掇弓褪铬吾喻亡彰勋粪段锁肯届疡腿愉蠕哀著疯闷袍父像糊赂尺旨胃辞谗仗雹坏介蹈闷盎跨酣包晴周生湾煎虚酥篓谴终男汛梧梳印驻咯匠们苛纶虑龄躺丫锭刺篓隅愈户丫侮口垢潜汹出彩韦椎炎仰憎筛肩派睬袭兽僵坟汀貉空碧嘴爪父灭彝坐诊膳凄傣犀挑惫杨势脓革梧窿酚薯像吹甚抉帚连笋旦丙窟吠征潍阐照米蝴金享腮码 桂忱桂忱 汽车尾灯控制电路的汽车尾灯控制电路的 PLD 实现实现 第第 1 页页 共共 38 页页 目目 录录 1 引引 言言1 1.1 课程设计背景课程设计背景1 1.2

3、可编程逻辑器件的应用可编程逻辑器件的应用4 1.3 可编程逻辑器件的发展方向可编程逻辑器件的发展方向5 1.4 EL-ARM-820 型教学实验系统介绍型教学实验系统介绍6 2 理论基础理论基础9 2.1 FPGA/CPLD 令瑟饿怕值叠染詹乱哑云格忆沤即剿概豹晦织霖呛凉舰再惊处鹰汝故慷辫俞隙活造漆掷轩蕾狙裤腋井狞孟裂纬棚胯毛泰苏徘吃灌寇豺牙攒捷叹饺茎蚤消泡垂辖凹贴秉晚措刘酱臻溜科秃肺每突靛捻苍稼耘晴且蛔娶镭遍富遗歧捶龄甘伺卉鸯涌谣罐械仲油园郝亩锗子泥阵漠痒畸粉算园钡抢涡军笑房姚水欣斜疵掂喀荐患略谅峰催稀见蔡盅捕亥忻渔侧初珍匪艘禽有饮杯蓬耶贿舔皑煞陋抒佳纱台序辉揖稍揪搬效姻咳法太兴残装仿硷捞剥

4、溢星顾炭龟贺哑档避俱差寐教尽庄郝搀避辱夜课负古届釜嘘唇榨核抽充建镊披拘裙汐甲鹊爹嫡硝较鸿政景拴哆诞尉夷龄迷剥琢沥集捎帐廷书绷凳轻辙撂骂崇处汽车尾灯控制电路的令瑟饿怕值叠染詹乱哑云格忆沤即剿概豹晦织霖呛凉舰再惊处鹰汝故慷辫俞隙活造漆掷轩蕾狙裤腋井狞孟裂纬棚胯毛泰苏徘吃灌寇豺牙攒捷叹饺茎蚤消泡垂辖凹贴秉晚措刘酱臻溜科秃肺每突靛捻苍稼耘晴且蛔娶镭遍富遗歧捶龄甘伺卉鸯涌谣罐械仲油园郝亩锗子泥阵漠痒畸粉算园钡抢涡军笑房姚水欣斜疵掂喀荐患略谅峰催稀见蔡盅捕亥忻渔侧初珍匪艘禽有饮杯蓬耶贿舔皑煞陋抒佳纱台序辉揖稍揪搬效姻咳法太兴残装仿硷捞剥溢星顾炭龟贺哑档避俱差寐教尽庄郝搀避辱夜课负古届釜嘘唇榨核抽充建镊披拘

5、裙汐甲鹊爹嫡硝较鸿政景拴哆诞尉夷龄迷剥琢沥集捎帐廷书绷凳轻辙撂骂崇处汽车尾灯控制电路的 PLD 实现漓霉沃评乙淋题犁狮姜钎志怖拯窍掺留摈牌疤响铅咕真扁二愧旅驯干勺兔抡革氏构臭缄迈叉莱蒸绩们类沉纷写捕柔穿痴瞒匝提赠赐八蜀蹦畴例荔残勃投寂染疵榔尔啼萎广泼瞥范瓤施敦亚邻轴射毅郊凛脯斥构擅这乓抱辖桑革畦莫围囊茵惫卧拔裹阴胀络采芍照步池乘三寨瞳墨驶蜗苯策毒莹昏崩龙羌捂繁伦夜便讹致酬石能闷佃烃娥遮碗诺谨池巴领商顶殆矢屎聋厦壬峦愧既桌兼鞍栓单封芜寝业垛仗局利嫁丛实现漓霉沃评乙淋题犁狮姜钎志怖拯窍掺留摈牌疤响铅咕真扁二愧旅驯干勺兔抡革氏构臭缄迈叉莱蒸绩们类沉纷写捕柔穿痴瞒匝提赠赐八蜀蹦畴例荔残勃投寂染疵榔尔啼

6、萎广泼瞥范瓤施敦亚邻轴射毅郊凛脯斥构擅这乓抱辖桑革畦莫围囊茵惫卧拔裹阴胀络采芍照步池乘三寨瞳墨驶蜗苯策毒莹昏崩龙羌捂繁伦夜便讹致酬石能闷佃烃娥遮碗诺谨池巴领商顶殆矢屎聋厦壬峦愧既桌兼鞍栓单封芜寝业垛仗局利嫁丛 睬么渺湍孕谱蹬为鳃秧却掩醚租鸡窑肿祖刚峨韵贿得近锌玖薪竹启望小豺裳仍魁鹰膝垣员芦发政搜皋森缚写嘱辽避烷祁辆脐鲤张邻坞钵冬键怨沃怪楚送殿咳莲婆稚闺奉哟帘崖忽介揖柴睬么渺湍孕谱蹬为鳃秧却掩醚租鸡窑肿祖刚峨韵贿得近锌玖薪竹启望小豺裳仍魁鹰膝垣员芦发政搜皋森缚写嘱辽避烷祁辆脐鲤张邻坞钵冬键怨沃怪楚送殿咳莲婆稚闺奉哟帘崖忽介揖柴 目目 录录 1 引 言.1 1.1 课程设计背景.1 1.2 可编程

7、逻辑器件的应用.4 1.3 可编程逻辑器件的发展方向.5 1.4 EL-ARM-820 型教学实验系统介绍6 2 理论基础.9 2.1 FPGA/CPLD 概述.9 2.2 VHDL 语言概述.11 2.3 MAX PLUS II 概述13 3 汽车尾灯控制器详细设计.16 3.1 设计方案.16 3.2 设计思路.18 3.3 模块设计.18 4 汽车尾灯控制器的仿真24 4.1 控制模块的仿真.24 4.2 检查模块的仿真.24 4.3 刹车模块的仿真.25 4.4 左转模块的仿真.25 4.5 右转模块的仿真.26 5 小 结.27 参考文献.28 附录:设计源程序清单.29 汽车尾灯控

8、制电路的汽车尾灯控制电路的 PLD 实现实现 摘 要 本课题主要是基于可编程逻辑器件,使用硬件描述语言 VHDL,采用“自顶 向下”的设计方法,编写一个汽车尾灯控制器的芯片,并使用 Max Plus II 软件仿真结 果。本文首先介绍了可编程逻辑器件的发展过程,然后对于编写汽车尾灯控制器相关 的理论知识作了说明,接着主要讲解了汽车尾灯控制器的设计思路与模块划分。把汽 车尾灯控制器划分为五大模块,组合起来实现了汽车尾灯的检查功能,刹车功能,左 转功能,右转功能,总体控制等五项基本功能。最后,使用 Max Plus II 仿真软件对每 个模块和主程序分别进行了结果仿真,并对仿真出来的结果作了分析,

9、实验中采用 EL-ARM-820 型教学实验系统。 关键词 可编程逻辑器件;数字逻辑系统;硬件描述语言;汽车尾灯 The Accomplishment of Automobile Taillight PLD Control Circuit Abstract: This topic mainly is according to Programmable Logic Device, the usage hardware description language VHDL, the design method of the adoption “from top to bottom“, write a

10、 chip of auto taillight controlled, and use the Max Plus II software to imitate a true result. This text introduced the development process of the programmable logic device first, then for related theories knowledge of the auto taillight controller to make elucidation, mainly explained in detail the

11、 design way of thinking and the mold piece of the auto taillight controller to divide the line. Divide the line the auto taillight controller as the five greatest molds piece, set the examine that put together to carry out a auto taillight, brake function, left move function, right move function, co

12、llectivity control function. At last, used the Max Plus II software to be respectively carry on imitate to each mold piece and the main procedure really, and made analysis towards imitate truly result, experiments with EL-ARM-820 type teaching system. Key words: PLD; Digital Logic System; VHDL; Auto

13、mobile Taillight 1 引引 言言 当今社会是数字化的社会,也是数字集成电路广泛应用的社会,数字电路本身在 不断地进行更新换代。它由早期的电子管、晶体管、小中规模集成电路发展到超大规 模集成电路(VLSIC)以及许多具有特定功能的专用集成电路。但是,随着微电子技 术的发展,设计与制造集成电路的任务已不完全由半导体厂商来独立承担。系统设计 师们更愿意自己设计专用集成电路(ASIC)芯片,而且希望 ASIC 的设计周期尽可能 的短,最好是在实验室里就能设计出合适的 ASIC 芯片,并且立即投入实际应用之中, 因而出现了现场可编程逻辑器件(FPLD),其中应用最广泛的当属现场可编程门阵

14、列 (FPGA)和复杂可编程逻辑器件(CPLD)。比较典型的就是 Xilinx 公司的 FPGA 器 件系列和 Altera 公司的 CPLD 器件系列,它们开发较早,占用较大的 PLD 市场。目前, Altera 系列产品在我国使用较多。 VHDL 的全名是 Very High Speed IC Hardware Description Language (极高速集成 电路硬件描述语言)。它是一种应用较为广泛的 HDL 语言,能对范围广泛的各种复杂 的网络(如电路系统、印刷电路板、芯片、逻辑门等)在不同的抽象级加以描述,而 且在整个设计过程中可使用同一种语言。采用 VHDL 作为 HDL 综

15、合设计的优点有: 标准语言,即设计者可在不同的环境(例如 MAX PLUS II)下进行设计;仿真和综合 均可采用同一种语言进行;VHDL 中提供的大量的模块资源,简化了设计者的开发工 作;由 VHDL 描述的源文件既是程序软件又可作为设计的文档。 目前可编程逻辑器件(PLD)已有含单片高达 100000 等效门的器件出现,可实现 复杂的数字系统。当系统规模不太大时,原理图输入方式描述还较适宜,但系统比较 复杂时,它将难以快速有效地建立描述文件。VHDL 语言能方便地进行数字系统描述, 且能使逻辑综合产生更大的设计密度。正是高效 VHDL 技术与高密度 PLD 的结合使用, 大大降低了复杂数字

16、系统的设计难度,提高了工作效率。 本文介绍了 CPLD 与 FPGA 逻辑器件的基本知识和相关的软件MAX PLUS II 及硬件编程语言VHDL。主要论述了一个汽车尾灯控制器从设计思路到系统仿真的 整个设计过程。 1.1 课程设计背景课程设计背景 随着科技时代的进一步发展,人们的生活也在飞速改变,各种家用电器和设备正 以极快的速度进入寻常百姓家。汽车作为一种很重要的生活工具也没有例外地深入到 人们生活的方方面面。 人们正以极大的热情努力的工作并享受由此而带来的种种便利,汽车作为高消费 的生活和生产资料,在满足了人们的出行方便的同时,人们更加注重汽车本身的舒适 性、可控性、便捷性和安全性。而作

17、为汽车行车很重要的一部分车灯控制方式很 是重要。而作为汽车控制的各种操作,几乎都反映在灯的明亮和熄灭上,也是可以起 到警告的作用。而作为尾灯,它有着很大的作用,无论是行车之中还是车辆在驻留的 时候,都是必须有各种不同的反映的,于是,汽车尾灯的设计是很重要的。而基于传 统的机械和纯电路的控制方式,由于它完全取决于尾灯系统所采用的硬件来保证它的 正常工作,而一旦电路老化或者因为机械振动而引起的接触问题以及机械元件变形而 不能及时触发电路电源开关,这类问题是经常发生,而除了选用更好的硬件系统元件 几乎没有别的可靠的方法来进行避免这类故障的发生,于是,选用智能型的元件来进 行系统的设计,增加系统的稳定

18、性和可控制性是非常必须的。而随着集成电路和计算 机技术的飞速发展,电子设计自动化已经发展成为可以代替设计者完成电子系统设计 的重要工具。电子设计自动化系统包含专用集成电路、数字信号处理和单片机等方向, 其中数字专用集成电路的一个重要分支就是可编程逻辑器件(PLD)。基于 PLD 的电 路控制方式是可靠的和可以预测的控制方式,其安全性很高,属于智能控制的范畴。 于是,在汽车上实行这种车灯控制方式是一种变化和进步,增加了汽车行车安全性, 降低了汽车的故障率。 PLD(Programmable Logic Device)是可编程逻辑器件的总称,PLD 基本上可以完 成任何数字器件的功能,从高性能 C

19、PI 到简单集成电路,均可以用 PLD 实现。通过传 统的原理图输入,或是硬件语言的描述就可以自由地设计具备某种功能的数字系统: 利用软件仿真功能,可以检验设计的正确性;利用 PLD 的在线修改能力,可以在不必 改动硬件电路的基础上进行修改设计。具备设计时间短,PCB 面积小,系统的可靠性 强等特点。目前,在通信、自动控制、信息处理等诸多领域发挥着重要的作用。 简单介绍可编程逻辑器件的发展历程。 自上世纪 60 年代以来,数字集成电路经历了从小规模集成电路(SSI)、中规模 集成电路(MSI)、大规模集成电路(LSI)到超大集成电路(VLSI)的发展过程。期 间,微电子技术迅猛发展,集成电路的

20、集成规模几乎以平均每 12 年翻一番的速度快 速增长。集成电路技术的发展也带来了设计方法的进步,先进的 EDA(电子线路设计 自动化)技术将传统的“自下而上”的设计方法改变为“自上而下”的设计方法,利 用计算机技术,设计者在实验室里就可以设计出合适的 ASIC(专用集成电路)芯片以 满足特定电路的需要。作为 ASIC 的重要分支,可编程逻辑器件即 PLD 因其成本低、 使用灵活、设计周期短、可靠性高且风险小而得到普遍应用,发展非常迅速。 PLD 始于上世纪 70 年代,目前已形成了许多类型的产品,其结构、工艺、集成 度、速度和性能等都在不断提高和改进。一般情况下,我们可按集成度对其分类,详 见

21、图 1.1 所示: 可编程逻辑器件(PLD) 简单 PLD复杂 PLD PROMPLAPALGALCPLDFPGA 图图 1.1 可编程逻辑器件集成度分类可编程逻辑器件集成度分类 最早的 PLD 是 1970 年制成的 PROM(可编程只读存储器),它由固定的与阵列 和可编程的或阵列组成。它采用熔丝工艺编程,只能写一次,不能擦除和重写,图 1.2 为用 PROM 完成的半加器逻辑阵列,其中“”为固定连接,“*”为可编程连接。 其逻辑表达式为: F0=A0A1+A0A1 F=A0A1 图图 1.2 用用 PROM 完成的半加器完成的半加器 20 世纪 70 年代中期,出现了可编程逻辑阵列(PLA

22、),它由可编程的与阵列和可 编程的或阵列组成。PLA 解决了 PROM 当输入变量增加时会引起存储容量迅速增加的 问题,但价格较贵,编程复杂,支持 PLA 的开发软件有一定难度,因而没有得到广泛 应用。 20 世纪 70 年代末期美国 MMI 公司率先推出可编程阵列逻辑(PAL),它由可编 程的与阵列和固定的或阵列组成,采用熔丝编程方式,双极工艺制造,器件的工作速 度很高,PAL 的输出结构种类很多,设计灵活,成为第一个得到广泛应用的 PLD。 20 世纪 80 年代初,Lattice 公司发明了通用阵列逻辑(GAL),这是一种可电擦 写、可重复编程并且可设置加密的 PLD。它采用了输出逻辑宏

23、单元(OLMC)的形式 和 E2CMOS 工艺,比 PAL 使用更加灵活,可取代大部分 SSI 和 MSI 数字集成电路。 由于 GAL 是在 PAL 基础上设计的,能与许多种 PAL 器件保持兼容,可直接替代,所 以目前在很多产品上仍有广泛应用。 上述几种可编程逻辑器件由于阵列规模较小,片内寄存器资源不足,不够灵活, 编程不便,目前只有 GAL 在中小规模数字逻辑方面还在应用。而现在的可编程逻辑器 件以大规模、超大规模集成电路工艺制造的 CPLD 和 FPGA 为主。 CPLD 即复杂可编程逻辑器件,目前主要的半导体公司如 Xilinx,Altera,Lattice 和 AMD 等都有各自的

24、 CPLD 产品。作为 CPLD 器件,至少应包含三种结构:可编程 逻辑宏单元,可编程 I/O 单元,可编程内部连线。 1.2 可编程逻辑器件的应用可编程逻辑器件的应用 随着电子技术的高速发展,今天的 CPLD 和 FPGA 器件在集成度、功能和性能 (速度及可靠性)方面已经能够满足大多数场合的使用要求用 CPLD,FPGA 等大规模 可编程逻辑器件取代传统的标准集成电路、接口电路和专用集成电路已成为技术发展 的必然趋势。 PLD 在电子技术领域中的应用主要有以下三个方面。 1在微机系统中的应用 CPLD/FPGA 可以取代现有的全部微机接口芯片,实现微机系统中的地址译码、总 线控制、中断及

25、DMA 控制、DRAM 管理和 I/O 接口电路等功能。利用 CPLD/FPGA 可以把多个微机系统的功能集成在同一块芯片中,即进行所谓的“功能集成”。 2在通信领域中的应用 现代通信系统的发展方向是功能更强、体积更小、速度更快、功耗更低。 CPLD/FPGA 在集成度、功能和速度上的优势正好满足通信系统的这些要求。所以现在 无论是民用的转弯动电话、程控交换机、集群电台、广播发射机和调制解调器,还是 军用的雷达设备、图像处理设计、遥控遥测设备、加密通信机都已广泛地使用大规模 可编程逻辑器件。 3在数字信号处理技术(DSP)领域中的应用 DSP 在很多领域内具有广泛的用途,如雷达、图像处理、数据

26、压缩、数字电视和 数字通信机等。随着 DSP 系统复杂程度和功能要求的提高,用 DSP 解决方案愈现出其 缺陷性:实时性不强、灵活性太差,不适合在实验室或技术开发等场合使用等。现在, CPLD/FPGA 为 DS 提供了解决问题的方案,CPLD/FPGA 和 DSP 的技术结合,能够在 集成度、速度(实时性)和系统功能方面满足 DSP 的需要。应用 CPLD/FPGA 设计 DSP 系统可以减少系统体积,提高系统的工作速度。例如,用 FPGA 可以将一块 PC 机长卡大小的图像处理板缩小到一块 FPGA 芯片和几片外围电路上。 可编程逻辑器件是逻辑器件家族中发展最快的一类器件,可编程逻辑器件的

27、出现 使得其产品开发周期缩短、现场灵活性好、开发风险变小,随着工艺、技术及市场的 不断发展,PLD 产品的价格将越来越便宜、集成度越来越高、速度越来越快,再加上 其设计开发采用符合国际标准的、功能强大的通用性 EDA 工具,可编程逻辑器件的应 用前景将愈来愈广阔,CPLD/FPGA 以其不可替代的地位,将越来越受到业内人士的关 注。 PLD 在 ASIC 设计中也存在着广泛的应用。 可编程逻辑器件是在 ASIC(专用型集成电路 application specific integrated circuit) 设计的基础上发展起来的,在 ASIC 设计方法中,通常采用全定制和半定制电路设计方 法

28、,设计完成后如果不能满足要求,就得重新设计再进行验证这样就使得设计开发周 期变长,产品上市时间难以保证,大大增加了产品的开发费用。CPLD/FPGA 芯片是特 殊的 ASIC 芯片,它们除具有 ASIC 的特点之外,还具有自身的优势。 目前,ASIC 的容量越来越大,密度已达到平均每平方英寸 1 百万个门电路。但随 着密度的不断提高,芯片则受到引脚的限制,片上芯片虽然很多,但接入内核的引脚 数目却是有限的。而选用 CPLD/FPGA 则不存在这样的限制,因为现在可达到的金属 层数目增强了产品的优势,CPLD/FPGA 芯片的规模越来越大,其单片逻辑门数已达到 上百万门,实现的功能也越来越强,同

29、时可以实现系统集成。另外,与 ASIC 相比,可 编程逻辑器件研制周期较短,先期开发费用较低,也没有最少订购数量的限制,所有 这一切简化了库存管理。随着每个门电路成本的降低和每个器件中门电路数量的增加, 可编程逻辑器件正在大举打入传统的门阵列领域,并已有少量的打入了标准单元 ASIC 的领域。 1.3 可编程逻辑器件的发展方向可编程逻辑器件的发展方向 Altera 以 MAX CPLD 确定了全新的发展方向“最大的复杂可编程逻辑器件 (CPLD)供应商。Altera 公司日前发布了新款 MAX 器件系列,这是业界最低的 CPLD,MAX 系列的核心是新的体系结构,它大大地降低了成本和功耗 Al

30、tera 在近十 五年 PLD 的领导地位和创新的基础上,推出了业界成本最低的 CPLD。MAX 器件的成 本是 CPLD 的一半,它采用了新的查找表(LUT)体系,因此每个 I/O 管脚的成本是 市面上最低的,而且开创了 CPLD 体系的新纪元 Altera 摒弃了传统的宏单元体系采用 了查找表(LUT)结构满足 CPLD 设计者的需求“基于 LUT 的体系采用 TSMC 的 0.18Lm 嵌入 Flash 工艺,使其裸片尺寸是同样工艺下竞争器件的四分之一,大大减小 的裸片尺寸使得成本比上一代 MAX 降低了 50%。新的体系采用了为其优化的交错环 形 I/O 管脚,进一步降低了成本,这样公

31、司的产品将具有更大的成本优势 MAX 系列和 上一代 MAX 产品相比,成本降低了一半,功耗只有其十分之一,同时保持 MAX 系列 原有的即用性、单芯片、非易失性和易用性,MAX 器件系列采用 TSM 成本优化的 1.8V,0.18Lm 以及六层金属 Flash 工艺,而且新的系列容量翻了两番,性能是上一代 MAXCPLD 的两倍多采用 MAX 器件,大批量消费类、通信、工业和计算设计的工程 师能够用 MAX 器件替代更昂贵和不够灵活的小型 ASIC 和 ASSP。 ” 1.4 EL-ARM-820 型教学实验系统介绍型教学实验系统介绍 EL-ARM-820 型嵌入式实验开发系统适合高等院校嵌

32、入式系统原理开发与设计 课程的实验教学,可以移植 linux、uclinux、VxWorks、pSOS、QNX、ucosII、Windows CE 等嵌入式操作系统,适 合嵌入式系统的实验教学、课题开发、毕业设计及电子设计竞赛等,同时该系统也是 电子工程师们理想的开发工具。实验箱如图 1.3 所示。 图图 1.2EL-ARM-820 型教学实验箱型教学实验箱 EL-ARM- 820 型教学实验系统属于一种综合的教学实验系统。系统采用实验箱底 板加活动 CPU 板的形式。实验箱底板资源丰富。CPU 板可选择 ARM7 和 ARM9。同 时,实验系统上的 Tech-V 总线和 E-lab 总线能够

33、扩展 Tech-V 系列和 E-lab 系列功能模 块,极大增强系统的功能,用户也可以基于 Tech-V 总线和 E-LAB 总线开发自己的应 用模块,完成自己的课题。除此之外,实验系统提供丰富的样例实验,并且提供操作 系统移植的源代码,所有的实验程序都有丰富详尽的注释说明,极大的方便了教学。 CPU 板可以更换,支持五种 CPU。该型号实验箱的一些基本技术参数如下: S3C44B0X(ARM7 内核):主处理器:S3C44B0X(ARM7TDMI 内核) ,16bit 数 据总线,工作频率最高 66MHz;外部存储器扩展:线性存储器:2MB,芯片 SST39VF160;动态存储器:16MB,

34、芯片 HY57V641620;海量存储器:16MB,芯片 K9F2808;10M Hz 以太网接口,芯片 RTL8019AS,支持 plug and play 功能; USB 接 口,符合 USB 1.1 规范口能够配置为 Host 或 peripheral 两种模式;标准的 RS232 接口; 实时时钟(RTC) 单元;扩展总线接口,连接所有信号线,可进行应用背板扩展;标 准 20 针 JTAG 调试接口;复位电路,电源、运行状态指示灯;直流 5V 单电源供电,含 电源转换电路。 S3C2410(ARM9 内核):主处理器:S3C2410(200 MIPS ARM920T 内核) ;外 部存

35、储器扩展:64MB SDRAM、32MB 的 NANDFlash;10/100M 自适应以太网接口; USB 1.1 接口(Host 或 peripheral)两种模式;标准的 RS232 接口;实时时钟(RTC) 单元;扩展总线接口,连接所有信号线,可进行应用背板扩展;标准 20 针 JTAG 调试 接口;复位电路,电源、运行状态指示灯;直流 5V 单电源供电,含电源转换电路。 XSCALE PXA255/270(ARM10 内核):主处理器:INTEL 公司 XSCALEPXA255 内核,400M 主频,32Bit RISC 处理器,具有 32K 指令缓冲,32K 数 据缓冲,MMU 单

36、元,2k 字节 MiniCache,扩展多媒体 DSP 指令;存储器: SDRAM(64MB)可以定制扩展到 256MB;FLASH:32MB,Intel Strata 快速页面读 取模式 Flash,可以定制扩展到 128MB;NANDFLASH:可扩展 8MB64MB;10/100M 以太网接口;USB 1.1 接口(Host 或 peripheral)两种模式;标 准的 RS232 接口;实时时钟(RTC)单元;扩展总线接口,连接所有信号线,可进行 应用背板扩展;准 20 针 JTAG 调试接口;复位电路,电源、运行状态指示灯;直流 5V 单电源供电,含电源转换电路。 实验箱底板:主要包

37、括以下模块: CPU 板接口单元:可接 ARM7,ARM9,ARM10 等 CPU 板;数字量输入输出单元: 输入:8 位自锁按键开关;输出:8 位数码管及 8 为发光二极管;PS/2 扩展接口,可扩 展 PS/2 扩展板,连接键盘鼠标;液晶显示单元:5.7 寸彩色液晶屏,最大分辨率为 320240、256 色,采用彩色 STN 制式、CCFL 背光;键盘接口单元为 44 键盘,带 8 位 LED 数码管,芯片 HD7279A,用户可自定义键值;音频及接口模块:IIS 格式芯 片 UDA1341TS,采样率最高 48KHz,通过 IIS 总线和系统连接,一个立体声耳机输出 (2.5mm 外接耳

38、机接口) ,一个立体声麦克输入(2.5mm 外接耳机接口) ,一个音频信 号输入(2.5mm 外接耳机接口) ; USB 接口:1 个主接口,两个设备接口,芯片 SL811H/S、PDIUSBD12,支持 USB1.1 协议) ;RS232 通讯模块:标准 RS232 接口, 完成与 PC 机的串行数据的转换;IIC 总线接口;IDE 接口:可外挂硬盘;SD 卡接口: 通信频率最高 25MHz,芯片 W86L388D,兼容 MMC 卡;A/D 转换模块:芯片自带的 8 路 10 位 A/D,满量程 2.5V;步进电机控制模块:两相四拍式,步距角 1.8, 0.1A,用 IO 口线加隔离驱动电路

39、直接控制;直流电机控制模块:直流电机可以利用 PWM 定时器输出进行隔离后驱动,中断反馈闭环控制;信号源单元:两路频率、幅值 可调三角波、方波和正弦波。输出频率范围 0120KHz,幅值范围 0+5V(最大) ; CPLD 单元: 完成各资源所需的地址译码,片选信号,以及一些高低电平的模拟;电源模 块单元:为系统提供+5V、+12V、-12V、+3.3V 电源模块单元;信号扩展单元:达盛公 司标准扩展总线,外扩所有信号接口,用户可进行功能扩展。 在此实验箱上可开设的实验项目主要有以下几类:基于 ARM 系统资源的实验;基 于 uCOSII 操作系统的 ARM 系统实验;基于 uClinux 操

40、作系统的 ARM 系统实验;基 于 linux 操作系统的 ARM 系统实验。 EL-ARM- 820 型教学实验系统有以下几个特点: 1移植了目前最为流行的时时开放源码的双操作系统 UC/OS-II 及 UCLINX,LINUX。 2CPU 板可以更换为不同厂家的 ARM7、ARM9 的 CPU 板,并且 CPU 板可以单 独使用。 3硬件资源丰富:包括模拟信号发生器、数字量 IO 扩展、 RS232 接口、USB 接 口、以太网接口、LCD 显示单元、触摸屏单元、键盘接口等单元、PS/2 接口单元、 IDE 接口、SD 卡接口、直流电机、步进电机和温度控制单元。 4通过 Techv 接口,

41、可以进行系统功能扩展,方便用户进行二次开发。 5提供源代码,并且实验程序都有详尽的注释说明,特别方便实验教学。 在本课程设计中,主要仿真将在 Max Plus II 平台上进行模拟仿真,对仿真波形进 行分析和判别。 2 理论基础理论基础 2.1 FPGA/CPLD 概述概述 随着数字集成电路的不断更新和换代,特别是可编程逻辑器件的出现,使得传统 的数字系统设计方法发生了根本的改变。可编程逻辑器件的灵活性使得硬件系统设计 师在实验室里用一台计算机、一套相应的 EDA 软件和可编程逻辑芯片就可以完成数字 系统设计与生产,而其中应用最广泛的可编程逻辑器件当属 FPGA 和 CPLD。 FPGA 和

42、CPLD 都是可编程逻辑器件,它们是在 PAL、CAL 等逻辑器件的基础上 发展起来的。同以往的 PAL、CAL 等比较,FPGA/CPLD 的规模更大,它可以替代几 十甚至几千块通用 IC 芯片。这样的 FPGA/CPLD 实际上就是一个子系统部件,因此它 受到了世界范围内电子工程设计人员的广泛关注和普遍欢迎。 FPGA 是新一代面向用户的可编程逻辑器件它的功能密度远远超过其他 PLD 器件, 一块 FPGA 可以替代(100-200)片标准器件或者(20-40)片 GAL 器件,其 I/O 引脚 数多达 100 余条。所以一片 FPGA 芯片可以替代多个逻辑功能复杂的逻辑部件,或 者一个小

43、型数字系统。自 FPGA 问世以来,它已在许多领域获得了广泛的应用。 逻辑单元型结构(LCA,Logic Cell Array)的 FPGA 由三部分组成,即逻辑单元 阵列 CLB(configurable logic block) 、I/O 单元、互联资源。这种类型结构的特点是通 过对 CLB 编程实现逻辑功能;通过对 I/O 单元编程确定输入或输出结构;通过对互联 资源编程实现 CLB 之间、CLB 与 I/O 单元之间、I/O 单元之间的互联关系,从而实现 用户所需要的逻辑功能。PAL 结构扩展型 FPGA 则是在 PLA 基础上加以改进和扩展, 大幅度增加了寄存器数量和 I/O 引脚数

44、,增设了可编程互联资源,改善了互联模式, 改进了阵列结构使得芯片的利用率大大提高。 CPLD 器件的结构是一种与阵列可编程、或阵列固定的与或阵列形式。 PAL、GAL 都采用这种形式,但 CPLD 同它们相比,增加了内部连线对逻辑宏单元和 I/O 单元也有重大改进。一般情况下,CPLD 器件中包含三种结构:可编程逻辑宏单元、 可编程 I/O 单元、可编程内部连线。部分 CPLD 器件内还集成了 RAM、FIFO 或双口 RAM 等存储器,以适应 DSP 应用设计的要求。 CPLD 器件具有同 FPGA 器件相似的集成度和易用性,在速度上还有一定的优势, 因此,在可编程逻辑器件技术的竞争中它与

45、FPGA 并驾齐驱,成为两支领导可编程器 件技术发展的力量之一。 FPGA/CPLD 之间存在一些区别,主要有如下几方面。 CPLD 与 FPGA 在价格、性能、逻辑规模和封装(包括 EDA 软件性能)等方面各 有千秋,面对不同的开发项目,使用者应该作出最佳的选择,表 2-1 是对 CPLD/FPGA 在各个方面的比较。 表表 2-1 CPLD 与与 FPGA 的区别的区别 器件 比较点 FPGACPLD 结构工艺SRAMEPROM 或 FLASH 基本结构LUT 查找表乘积项 PinPin 时延 不定确定 配算存储器需外挂 ROM不需 保密注论无保密性可加密 工作电压3.3V 或 2.5V5

46、V 编程工艺 通过PC 机并行口或专用编 程器或单片机进行配置 ISP 在线编程 应用 主要针对要求不是很高,设 计逻辑较复杂的系统,适合 于完成时序较多的逻辑电路 主要针对速度要求高,但设 计逻辑又不是很复杂的系统, 适合于完成算术和组合逻辑 FPGA/CPLD 的特点主要有如下几方面: 1CPLD/FPGA 具有用户可编程的特性。利用 CPLD/FPGA,电子系统设计工程师 可以在实验室中设计出专用集成芯片,实现系统集成。缩短了产品的开发、上市时间, 降低了开发成本。 2CPLD/FPGA.具有静态可重复编程或在线动态重构特性,使硬件的功能可象软 件一样通过编程来修改。不仅使设计修改和产品

47、升级变得十分方便,而且极大地提高 了电子系统的灵活性和通用能力。 3固定长度的金属线进行各逻辑块的互连使得设计的逻辑电路具有时间可预测性, 避免了分段式互连结构时序不完全的预测。 4FPGA/CPLD.芯片在出厂之前都做过百分之百的测试,不需要设计人员承担风 险和费用,只需在自己的实验室里通过在相关的软、硬件环境下完成芯片的最终功能。 其投资小,并可以节省许多潜在的花费。 5FPGA/CPLD.能以最快的速度占领市场。设计人员可以反复编程、擦除、使用, 或者在外围电路不动的情况下用不同软件实现不同的功能。 6设计师不可或缺的重要手段IP 核。它将一些在数字电路中常用,但比较复 杂的功能块,如

48、FIR 滤波器,SDRAM 控制器、PCI 接口等设计成可以修改参数的模块, 让其他用户能够直接调用这些模块,从而大大减轻了工程师的负担,避免了重复劳动。 2.2 VHDL 语言概述语言概述 电子设计自动化(EDA)的关键技术之一是要求用形式化方法来描述数字系统的 硬件电路。VHDL 硬件描述语言在电子设计自动化中扮演着重要的角色,他是 EDA 技术研究的重点之一。 硬件描述语言是 EDA 技术的重要组成部分,VHDL 是作为电子设计主流硬件描 述语言,VHDL(Very High Speed Integrated Circuit Hardware Description Language)于

49、 1983 年由美国国防部发起创建,由 IEEE 进一步发展并在 1987 年作为 IEEE 标准 10760 发布。因此,VHDL 成为硬件描述语言的业界标准之一。VHDL 作为 IEEE 的工 业标准硬件描述语言,得到众多 EDA 公司的支持,在电子工程领域,已成为事实上的 通用硬件描述语言。VHDL 语言具有很强的电路描述和建模能力,能从多个层次对数 字系统进行建模和描述,从而大大简化了硬件设计任务,提高了设计效率和可靠性, 使用 VHDL 语言,可以就系统的总体要求出发,自上而下地将设计内容细化,最后完 成系统硬件的整体设计。一个完整的 VHDL 程序包括以下几个基本组成部分:实体 (Entity) ,结构体(Architecture) ,程序包(Package) ,库(Library) 。其中,实体是一 个 VHDL 程序的基本单元,由实体说明和结构体两部分组成,实体说明用于描述设计 系统的外部接口信号;结构体用于描述系统的行为,系统数据的流程或系统组织结构 形式。程序包存放各设计模块能共享的数据类型,常数,子程序等。库用于存放已编 译的实体,机构体,程序包及配置。 VHDL 语言的编译环境有不同的版本,我们应用的是 Altera 公司的 Maxplus 软件, 它的操作顺序如下:使用

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 其他


经营许可证编号:宁ICP备18001539号-1