硬件描述语言及器件.ppt

上传人:本田雅阁 文档编号:2665846 上传时间:2019-05-02 格式:PPT 页数:27 大小:436.01KB
返回 下载 相关 举报
硬件描述语言及器件.ppt_第1页
第1页 / 共27页
硬件描述语言及器件.ppt_第2页
第2页 / 共27页
硬件描述语言及器件.ppt_第3页
第3页 / 共27页
硬件描述语言及器件.ppt_第4页
第4页 / 共27页
硬件描述语言及器件.ppt_第5页
第5页 / 共27页
点击查看更多>>
资源描述

《硬件描述语言及器件.ppt》由会员分享,可在线阅读,更多相关《硬件描述语言及器件.ppt(27页珍藏版)》请在三一文库上搜索。

1、硬件描述语言及器件,教材:任勇峰等编著VHDL与硬件实现速成,主讲教师:刘文怡,08/09学年第1学期,教学安排,第1讲:VHDL概述及其开发环境 第2讲:VHDL的基本元素 第3讲:VHDL的进程 第4讲:其它并行语句 第5讲:VHDL实例剖析 第6讲:VHDL的顺序描述语句 第7讲:结构体的描述风格 第8讲:计数器和状态机 第9讲:不同风格的状态机举例 第10讲:VHDL综合举例,第7讲: 结构体的描述风格,1 顺序语句回顾 2 数据流描述法 3 行为描述法 4 结构化描述法 5 异或门的三种描述法的实现对比,1 顺序语句回顾,体现的是空间顺序,而不是时间顺序 “整个”顺序语句的执行只能是

2、用“时刻”来描述 把每条语句理解为一片电路,在顺序结构中,“不执行”的电路也是存在的!,条件语句,条件语句小结,If 后是条件表达式,记住单信号匹配常量为“字符”,如 a=1;而信号向量匹配常量为“字符串”,如 s=“00”。 条件是有优先级的,多个条件都可满足时,执行排在最前面的条件。 If 、else、end if容易记住,但“elseif”的拼写是错的,正确的是“elsif”。 复杂的条件一定要加括号明确优先级。 If语句不能直接用于结构体,但条件代入语句可以。 If语句可用于process中。,选择语句,with s select y= a when “00”, b when “01”

3、, c when “10”, d when others;,case s is when “00” = y y y y=d; end case;,代入号右边是逗号分隔的多种条件和取值,选择条件的多种表示形式,Case语句中的when主要有以下四种表达形式: 1)when 条件选择值=语句; 2)when 条件选择值| 条件选择值 | | 条件选择值=语句; 3)when 条件选择值 to 条件选择值 =语句; 4)when others =顺序语句; 选择语句要做到把所有条件都考虑进去,否则会使整个逻辑进入不确定状态。解决方法:when others 各条件地位等同,无优先顺序,除others

4、外可以互换。,循环语句,for-loop语句语法格式为: 循环标号: for 循环变量 in 变化范围 loop 命令语句; end loop 循环标号:; while 语句语法格式为: 循环标号: while 条件 loop 命令语句; end loop 循环标号:;,循环语句,For-loop语句用于循环次数确定的循环,whileloop用于由条件约束的循环(不作要求)。 对比 for-generate 和 for-loop ,二者的效果是一样的,但前者可以用于结构体,后者不能,只能用于进程。 循环变量不必定义,不能给循环变量赋值,但可以把循环变量的值作为赋值源。,2 数据流描述法,数据流

5、描述(Dataflow Description) 描述了数据流程的运动路径、运动方向和运动结果而这些分配方式在VHDL语言中可以分成如下三种: 1)直接式的信号代入“=”。 2)条件式信号代入“when-else”。 3)选择式信号代入“with-select-when”。 前面讲到的简单例子大都是采用数据流描述法。 二路选择器的电路系统示意图。,数据流描述法,3 行为描述法,行为描述用于表示输入与输出间转换的行为,它不需要包含任何结构方面的信息。 采用自顶向下的设计方法进行集成电路(硬件)设计时,经常是在设计的最初阶段采用行为描述的方法,将整个电路的基本框架结构建立起来,即将整个设计分为若干

6、功能模块,每个功能模块均使用行为描述语句描述其要实现的功能。在确定整个设计思路和方法正确之后,再对整个设计进行细分,将各个功能模块使用结构描述的方法具体实现,以完成设计。 它描述的基本单元是进程(process)语句,通常使用ifthenelse、caseiswhen等具有顺序性的指令来完成。,行为描述法实现比较器,ARCHITECTURE behavioral OF comparator BEGIN Comp:PROCESS(a,b) BEGIN IF a b THEN G 1; ELSE G 0; END IF; END process comp; END behavioral;,行为描述

7、法实现寄存器,a,y,c,clk,4 结构描述法元件组合法,结构化描述用于表示构成硬件的子元件以及这些子元件之间的连接关系,或者说结构描述是将硬件电路当成一些相互连接的元件集合。 它比行为描述更具体,特别是在描述与硬件的对应关系上,结构描述要比行为描述更为明显、直观。 结构描述的优点是可以利用层级方式,将大型硬件电路分解为小电路或模块来设计、仿真和验证。 描述的步骤是首先声明元件例示语句(component),其次再说明这些元件间彼此连接的对应关系,即各元件间的连接映射。,结构描述法,例如,课本图3-4是2-4译码器,其中,图(a)是逻辑符号,图(b)是逻辑图。 (a)2-4译码器逻辑符号;

8、(b)2-4译码器逻辑图,结构描述法,思路:先选择元器件,然后使用元器件搭建逻辑。 元器件: 非门(反相器)2个 三输入与门4个 首先分别使用VHDL语言建立两个工程,分别实现反相器和三输入与门的逻辑,作为备用元件。(P62,例3-25/26) 其次建立译码器工程,采用component语句声明上述两个备用元件,在结构体中采用port map 语句完成上图中各元件的连接。(P63,例3-27) 诀窍:把连线编号,按编号定义使用的信号。,结构描述法,凡从外部引入或输出的信号,定义到entity中; 元件之间的连接信号,需要定义到结构体中。 所有连在一起的连线,只能定义一次。,逻辑门的符号表示,异或门电路三种描述风格的实现的比较,异或门的表达式: y =,行为描述法的实现,数据流描述法的实现,结构描述法的实现,反相器2个,二输入与门2个,二输入或门1个 not2, and2, or2 entity中,二输入信号,一输出信号 声明3种元器件 结构体中声明4个内部信号,作业,1 复习3.5 2 预习5.1实例,

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 其他


经营许可证编号:宁ICP备18001539号-1