毕业设计(论文)-基于单片机LED显示电子时钟_.doc

上传人:哈尼dd 文档编号:3283674 上传时间:2019-08-08 格式:DOC 页数:36 大小:471.52KB
返回 下载 相关 举报
毕业设计(论文)-基于单片机LED显示电子时钟_.doc_第1页
第1页 / 共36页
毕业设计(论文)-基于单片机LED显示电子时钟_.doc_第2页
第2页 / 共36页
毕业设计(论文)-基于单片机LED显示电子时钟_.doc_第3页
第3页 / 共36页
毕业设计(论文)-基于单片机LED显示电子时钟_.doc_第4页
第4页 / 共36页
毕业设计(论文)-基于单片机LED显示电子时钟_.doc_第5页
第5页 / 共36页
点击查看更多>>
资源描述

《毕业设计(论文)-基于单片机LED显示电子时钟_.doc》由会员分享,可在线阅读,更多相关《毕业设计(论文)-基于单片机LED显示电子时钟_.doc(36页珍藏版)》请在三一文库上搜索。

1、第- 1 -页 共 31 页 毕业设计说明书 题题 目:目:_基于单片机基于单片机 LEDLED 显示电子时钟显示电子时钟_ 专专 业:业:_应用电子技术应用电子技术_ 班班 级:级:_一班一班_ 学学 号:号:_ _ 姓姓 名:名:_ _ 指导老师:指导老师:_ _ 20112011 年年 4 4 月月 2626 日日 第- 2 -页 共 31 页 目 录 摘 要4 第 1 章 前言5 1.1 单片机基础知识 第 2 章 方案设计3 2.1 方案选择.3 2.1.1 量程转换方案设计.3 2.1.2 显示部分方案设计.3 2.2 方案论证.4 第 3 章 硬件设计5 3.1 单片机控制模块设

2、计.5 3.1.1 时钟电路.5 3.1.2 复位电路.5 3.2 量程转换模块设计.6 3.2.1 电路选择.6 3.2.2 工作原理及换算关系.6 3.3 逐次逼近式 A/D 转换模块设计.6 3.3.1 ADC0808 简介 .6 3.3.2 A/D 转换电路设计 .8 3.4 显示模块设计.9 3.4.1 LCD 显示模块 .9 3.4.2 LCD1602 的引脚功能 .9 3.4.3 LCD1602 的显示操作 .9 第 4 章 系统软件设计.14 4.1 主程序设计14 4.2 A/D 转换程序 15 4.3 中断服务程序15 第 5 章 系统仿真与调试.16 第- 3 -页 共

3、31 页 5.1 分局部调试16 5.2 整机调试16 5.3 系统仿真17 结 论.18 致 谢.19 参考文献.20 附录 系统源程序.21 附录 整机原理图.31 第- 4 -页 共 31 页 摘摘 要要 二十世纪跨越了三个“电”的时代,即电气时代、电子时代和现已进入的 电脑时代。不过,这种电脑,通常是指个人计算机,简称 PC 机。它由主 机、键盘、显示器等组成。还有一类计算机,大多数人却不怎么熟悉。这 种计算机就是把智能赋予各种机械的单片机(亦称微控制器) 。顾名思义, 这种计算机的最小系统只用了一片集成电路,即可进行简单运算和控制。 它的出现是近代计算机技术发展史上的一个重要里程碑,

4、因为它体积小, 通常都藏在被控机械的“肚子”里。它在这个装置中,起着有如人类头脑 的作用,它出了毛病,整个装置就瘫痪了。 单片机具有体积小、功能强、应用面广等优点,目前正以前所未见的 速度取代着传统电子线路构成的经典系统,蚕食着传统数字电路与模拟电 路固有的领地。它的体积小、质量轻、价格便宜、为学习、应用和开发提 供了便利条件。同时,学习使用单片机了解计算机原理与结构的最佳选择。 现在,这种单片机的使用领域已十分广泛。彩电、冰箱、空调、录像 机、VCD、遥控器、游戏机、电饭煲等无处不见单片机的影子,单片机早 已深深地融入我们每个人的生活之中。 单片机能大大地提高这些产品的智能性,易用性及节能性

5、等主要性能 指标,给我们的生活带来舒适和方便的同时,在工农业生产上也极大地提 高了生产效率和产品质量。单片机按用途大体上可分为两类,一种是通用 型单片机,另一种是专用型单片机。 第- 5 -页 共 31 页 1.11.1 单片机基础知识单片机基础知识 单片机又称单片微控制器,它不是完成某一个逻辑功能的芯片,而是 把一个计算机系统集成到一个芯片上。概括的讲,一块芯片就成了一台计 算机。 MCS-51 单片机是美国 INTEL 公司于 1980 年推出的产品,与 MCS- 48 单片机相比,它的结构更先进,功能更强,在原来的基础上增加了更多的 电路单元和指令,指令数达 111 条,MCS-51 单

6、片机可以算是相当成功的产 品,一直到现在,MCS-51 系列或其兼容的单片机仍是应用的主流产品,各 高校及专业学校的培训教材仍与 MSC-51 单片机作为代表进行理论基础学 习。 MCS-51 系列单片机主要包括 8031、8051 和 8751 等通用产品。 DP-51S 单片机仿真实验仪是由广州致远电子有限公司设计的 DP 系列 单片机仿真实验仪之一,是一种功能强大的单片机应用技术学习、调试。 1.21.2 单片机的应用领域单片机的应用领域 单片机广泛应用于仪器仪表、家用电器、医用设备、航空航天、专用 设备的智能化管理及过程控制等领域,大致可分为如下几个范畴: 一、在智能仪器仪表的应用 单

7、片机具有体积小、功耗低、控制功能强、扩展灵活、微型化和使 用方便等优点,广泛应用于仪器仪表中,结合不同类型的传感器,可实现 第- 6 -页 共 31 页 诸如电压、功率、频率、湿度、温度、流量、速度、厚度、角度、长度、 硬度、元素、压力等物理量的测量。采用单片机控制使得仪器仪表数字化、 智能化、微型化,且功能比起采用电子或数字电路更加强大。例如精密的 测量设备(功率计,示波器,各种分析仪) 。 二、在家用电器中的应用 可以这样说,现在的家用电器基本上都采用了单片机控制,从电饭煲、 洗衣机、电冰箱、空调机、彩电、其他音响视频器材、再到电子秤量设备, 五花八门,无所不在。 三、在工业控制中的应用

8、用单片机可以构成形式多样的控制系统、数据采集系统。例如工厂流 水线的智能化管理,电梯智能化控制、各种报警系统,与计算机联网构成 二级控制系统等。 四、在计算机网络和通信领域中的应用 现代的单片机普遍具备通信接口,可以很方便地与计算机进行数据通 信,为在计算机网络和通信设备间的应用提供了极好的物质条件,现在的 通信设备基本上都实现了单片机智能控制,从手机、电话机、小型程控交 换机、楼宇自动通信呼叫系统、列车无线通信、再到日常工作中随处可见 的移动电话,集群移动通信,无线电对讲机等。 五、单片机在医用设备领域中的应用 单片机在医用设备中的用途亦相当广泛,例如医用呼吸机,各种分析 仪,监护仪,超声诊

9、断设备及病床呼叫系统等等。 此外,单片机在工商、金融、科研、教育、国防航空等领域都有着十 第- 7 -页 共 31 页 分广泛的用途。 1.31.3 单片机的发展趋势单片机的发展趋势 单片机现在可以说是百花齐放,百家争鸣的时期,世界上各大芯片制 造公司都推出了自己的单片机,从 8 位、16 位到 32 位,数不胜数,应有 尽有,有与主流 C51 系列兼容的,也有不兼容的,但它们各具特色,互成 互补,为单片机的应用提供了广阔的天地。 纵观单片机的发展过程,可以预示单片机的发展趋势,大致有: 一、微型单片化 现在常规的单片机普遍都是将中央处理器(CPU) 、随机存取数据存 储(RAM) 、只读程序

10、存储器(ROM) 、并行和串行通信接口,中断系统、定 时电路、时钟电路集成在一块单一的芯片上,增强型的单片机集成了如 A/D 转换器、PMW(脉宽调制电路) 、WDT(看门狗) 、有些单片机将 LCD(液晶)驱动电路都集成在单一的芯片上,这样单片机包含的单元电 路就更多,功能就越强大。甚至单片机厂商还可以根据用户的要求量身定 做,制造出具有自己特色的单片机芯片。 此外,现在的产品普遍要求体积小、重量轻,这就要求单片机除了功 能强和功耗低外,还要求其体积要小。现在的许多单片机都具有多种封装 形式,其中 SMD(表面封装)越来越受欢迎,使得由单片机构成的系统正 朝微型化方向发展。 二、低功耗 CM

11、OS 化 第- 8 -页 共 31 页 MCS-51 系列的 8031 推出时的功耗达 630mW,而现在的单片机普遍都 在 100mW 左右,随着对单片机功耗要求越来越低,现在的各个单片机制造 商基本都采用了 CMOS(互补金属氧化物半导体工艺) 。像 80C51 就采用了 HMOS(即高密度金属氧化物半导体工艺)和 CHMOS(互补高密度金属氧化 物半导体工艺) 。CMOS 虽然功耗低,但由于其物理特征决定其工作速度不 够高,而 CHMOS 则具备了高速和低功耗的特点,这些特征,更适合于要求 低功耗像电池供电的应用场合。所以这种工艺将是今后一段时期单片机发 展的主要途径。 三、主流与多品种

12、共存 现在虽然单片机的品种繁多,各具特色,但仍以 80C51 为核心的单片 机占主流,兼容其结构和指令系统的有 PHILIPS 公司的产品,ATMEL 公司 的产品和中国台湾的 Winbond 系列单片机。所以 80C51 占据了半壁江山。 而 Microchip 公司的 PIC 精简指令集合(RISC)也有着强劲的发展势头, 中国台湾的 HOLTEX 公司近年的单片机产量与日俱增,与其底价质优的优 势,占据一定的市场份额。此外还有 MOTOROLA 公司的产品,日本几大公 司的专用单片机。在一定的时期内,这种情形将得以延续,将不存在某个 单片机一统天下的垄断局面,走的是依存互补、相辅相成、共

13、同发展的道 路。 九十年代以后,单片机在结构上采用双 CPU 或内部流水线,CPU 位数 有 8 位、16 位、32 位,时钟频率高达 20MHZ,片内带有 PWM 输出、监视定 时器 WDT、可编程计数器阵列 PCA、DMA 传输、调制解调器等。芯片向高度 集成化、低功耗方向的发展,使得单片机在大量数据的实时处理、高级通 第- 9 -页 共 31 页 信系统、数字信号处理、复杂工业过程控制、高级机器人以及局域网等方 面得到大量应用。这类单片机有 NEC 公司的 MPD7800,MITSUBISHI 公司的 M337700,REVKWELL 公司的 R6500。 2.2.硬件设计硬件设计 2.

14、12.1 硬件设计硬件设计 2.1.12.1.1 80C5180C51 单片机的内部结构单片机的内部结构 图 2-1 为 80C51 单片机功能结构框图 80C51 芯片内部集成了 CPU、RAM、ROM、定时/计数器和 I/O 口等 各功能部件,并由内部总线把这些不见连接在一起。 80C51 单片机内部包含以下一些功能部件: (1) 一个 8 位 CPU; (2) 一个片内振荡器和时钟电路; (3) 4KB ROM(80C51 有 4KB 掩膜 ROM,87C51 有 4KB EPROM,80C31 片内有无 ROM) ; (4) 128B 内 RAM; (5) 可寻址 64KB 的外 RO

15、M 和外 RAM 控制电路; (6) 两个 16 位定时/计数器; (7) 21 个特许功能寄存器; (8) 4 个 8 位并行 I/O 口,共 32 条可编程 I/O 端线; (9) 一个可编程全双工串行口; (10) 5 个中断源,可设置成 2 个优先级。 第- 10 -页 共 31 页 外时钟源 外部事件计数 中断 控制 并 行 口 串行通信 图 2-1 80C51 单片机功能结构框图 2.1.22.1.2 80C5180C51 单片机的引脚功能单片机的引脚功能 80C51 单片机一般采用双列直插 DIP 封装,共 40 个引脚,图 2-2a 为 引脚排列图。图 2-2b 为逻辑符号图。

16、40 个引脚大致可分为 4 类:电源、 时钟、控制和 I/O 引脚。 振荡器及 时序 OSC 8051CPU 程序存储器 4KB ROM 数据存储器 256B 2 个 16 位定时 器/计数器 64K 总线扩 展控制器 可编程 I/O可编程全双工 串行口 第- 11 -页 共 31 页 图 2-2 80C51 引脚图 1.电源 (1)Vcc芯片电源,接+; (2)Vss接地端。 2.时钟 XTAL1、XTAL2晶体振荡电路反相输入端和输出端。使用内部振 荡电路时外接石英晶体。 3.控制线 控制线共有 4 根,其中 3 根是复用线。所谓复用线是指具有两种功能, 正常使用时是一种功能,在某种条件下

17、是另一种功能。 第- 12 -页 共 31 页 (1)ALE/PROG地址锁存允许/片内 EPROM 编程脉冲。 ALE 功能:用来锁存 P0 口送出的低 8 位地址。 80C51 在并行扩展外存储器(包括并行扩展 I/O 口)时,P0 口用于分 时传送低 8 位地址和数据信号,且均为二进制数。那么如何区分是低 8 位 地址还是 8 位数据信号呢?当 ALE 信号有效时,P0 口传送的是低 8 位地 址信号;ALE 信号无效时,P0 口传送的是 8 位数据信号。在 ALE 信号的 下降沿,锁定 P0 口传送的内容,即低 8 位地址信号。 需要指出的是,当 CPU 不执行访问外 RAM 指令(M

18、OVX)时,ALE 以时钟振荡频率 1 / 6 的固定频率输出,因此 ALE 信号也可作为外部芯片 CLK 时钟或其他需要。但是,当 CPU 执行 MOVX 指令时,ALE 将跳过 一个 ALE 脉冲。 ALE 端可驱动 8 个 LSTTL 门电路。 PROG 功能:片内有 EPROM 的芯片,在 EPROM 编程期间,此引 脚输入编程脉冲。 (2)PSEN外 ROM 读选通信号。 80C51 读外 ROM 时,没个机器周期内 PSEN 两次有效输出。PSEN 可 作为外 ROM 芯片输出允许 OE 的选通信号。在读内 ROM 或读外 RAM 时, PSEN 无效。 PSEN 可驱动 8 个

19、LSTTL 门电路。 (3) RST/Vpd复位/备用电源。 正常工作时,RST(Reset)端为复位信号输入端,只要在该引脚上 连续保持两个机器周期以上高电平,80C51 芯片即实现复位操作,复位后 第- 13 -页 共 31 页 一切从头开始,CPU 从 0000H 开始执行指令。 Vpd 功能:在 Vcc 掉电情况下,该引脚可接上备用电源,由 Vpd 向 片内供电,以保持片内 RAM 中的数据不丢失。 (4) EA/Vpp 内外 ROM 选择/片内 EPROM 编程电源。 EA 功能:正常工作时,EA 为内外 ROM 选择端。80C51 单片机 ROM 寻址范围为 64KB,其中 4KB

20、 在片内,60KB 在片外(80C31 芯片无 内 ROM,全部在片外) 。当 EA 保持高电平时,先访问内 ROM,但当 PC(程序计数器)值超过 4KB(0FFFH)时,将自动转向执行外 ROM 中 的程序。当 EA 保持低电平时,则只访问外 ROM,不管芯片内有否内 ROM。对 80C31 芯片,片内无 ROM,因此 EA 必须接地。 Vpp 功能:片内有 EPROM 的芯片,在 EPROM 编程期间,此引脚 用于施加编程电源 Vpp。 对 4 个控制引脚,应熟记起第一功能,了解其第二功能。 严格来讲,80C51 的控制线还应该包括 P3 口的第二功能。 4. I/O 引脚 80C51

21、共有 4 个 8 位并行 I/O 端口,共 32 个引脚 (1)P0 口8 位双向 I/O 口。 在不并行扩展外存储器(包括并行扩展 I/O 口)时, P0 口可用作双向 I/O 口。 在并行扩展外存储器(包括并行扩展 I/O 口)时, P0 口可用于分时传送低 8 位地址(地址总线)和 8 位数据信号(数据总线)。位结构如图 2-4 所示。P0 口能驱动 8 个 LSTTL 门。 第- 14 -页 共 31 页 VCC 地址/数据 控制 锁存器 P0.X D CP Q Q MUX V1 V2 P0.X 引脚 读锁存器 写锁存器 内部总线 读引脚 P3.1TXD:串行口输出端; P3.2INT

22、0:外部中断 0 请求输入端; P3.3INT1:外部中断 1 请求输入端 P3.4T0:定时/计数器 0 外部信号输入端; P3.5T1:定时/计数器 1 外部信号输入端; P3.6WR:外 RAM 写选通信号输出端; P3.7RD:外 RAM 读选通信号输出端。 上述 4 个 I/O 口,各有各的用途。 在不并行扩展外存储器(包括并行扩 展 I/O 口)时, 4 个 I/O 口都可作为双向 I/O 口用。在并行扩展外存储器(包 括并行扩展 I/O 口)时, P0 口专用于分时传送低 8 位地址信号和 8 位数据信 号,P2 口专用于传送高 8 位地址信号。P3 口根据需要常用于第二功能,真

23、正 可提供给用户使用的 I/O 口是 P1 口和一部分未用作第二功能的 P3 口端线。 2.22.2 应用系统硬件设计应用系统硬件设计 2.2.12.2.1 硬件系统电路图硬件系统电路图 以下是应用系统硬件的构成图,共由 7 部分构成。 1、单片机小系统包括:(1)复位电路; (2)时钟电路; (3) 80C51。 2、六反相缓冲/变换器:3 个 3、光电隔离器:16 个 第- 17 -页 共 31 页 4、电流放大器:16 个 5、中间继电器:16 个 6、驱动继电器:16 个 7、负载(电灯):16 个 系统构成图 2.2.22.2.2 40494049 驱动器:驱动器: 4049 是 6

24、 反相缓冲驱动器,内由 6 个反相器构成。 作用:将 P0.0P1.7 口输出的驱动电流放大,达到足够的电流使光电隔离 器中的发光二极管发光,使光电器件的输出满足逻辑上的要求,完成光电 隔离的作用。 2.2.32.2.3 光电隔离器光电隔离器 第- 18 -页 共 31 页 在这个电路板里使用的是光耦 4N25 的光电隔离器。 光电隔离器的原理:光电隔离器的原理: 在光电耦合器输入端加电信号使发光源发光,光的强度取决于激励电 流的大小,此光照射到封装在一起的受光器上后,因光电效应而产生了光 电流,由受光器输出端获得一个反向的输出逻辑信号,这样就实现了电 光电的转换。 2.2.42.2.4 继电

25、器:继电器: 在这个电路板上使用的是直流电磁继电器。里面有两种,一种是中间 继电器,一种是驱动继电器。 第- 19 -页 共 31 页 继电器工作原理: 继电器就是电子机械开关,它是用漆包铜线在一个圆铁芯上绕几百圈 至几千圈,当线圈中流过电流时,圆铁芯产生了磁场,把圆铁芯上边的带 有接触片的铁板吸住,使之断开第一个触点而接通第二个开关触点。当线 圈断电时,铁芯失去磁性,由于接触铜片的弹性作用,使铁板离开铁芯, 恢复与第一个触点的接通。因此,可以用很小的电流去控制其他电路的开 关。整个继电器由塑料或有机玻璃防尘罩保护着,有的还是全密封的,以 防触电氧化。 如上图所示,当控制电路中的开关 K 闭合

26、时,电磁铁便具有磁性,将 衔铁吸下,使继电器触点接触,与触点相连接的电源电路便接通;当控制 开关 K 断开时,电磁铁的磁性被撤消,继电器触点弹开,电源电路亦随之 断开。 2.2.52.2.5 其他硬件其他硬件 除了用到光电隔离器、驱动器、继电器之外,还用到了三极管、电灯、 第- 20 -页 共 31 页 电线等。 3.3.应用系统开发工具应用系统开发工具 DP-51SDP-51S 3.13.1 DP-51SDP-51S 硬件结构硬件结构 (1)电路外观 DP-51S 单片机仿真实验仪上具有丰富的外围接口和板上元件。 DP-51S 的单片机仿真实验仪的电路布局如图 3.1 所示: (2)主要器件

27、 电路主要器件如表 3.1 所示: 编号型号功能 说明 U1DIP-40 封装CPU 主器件,可插仿真头 U2、U374HC537数据地址锁存器 U4、U14LN3361BS3 位 8 段共阳极数码管 U5MAX810电压监控复位器件 U6PCF8563实时时钟芯片 U7CAT24WC02串行 EPROM 存储器 U8MAX232RS232 串口通信接口器件 U9ASC08098 位并行 A/D 转换器件 U11TL431精密集成稳压器件 U12DAC08328 位并行 D/A 转换芯片 U13LM324集成运算放大器 PWMLEDLEDPWM 指示 U1974HC00TTL 与非门 U207

28、4HC02TTL 或非门 U2174HC04TTL 非门 U2274HCC138138 译码器 U23HM6225632K SRAM U2474HC74TTL D 触发器 L1-L8LED8 个 LED 发光管 KL0-KL7KEY键盘 第- 21 -页 共 31 页 RUNLEDRUN 指示 Y11105926MHz 石英晶振单片机时钟晶振 Y232768KMHz 石英晶振实时时钟使用的晶振 (3)应用接口 为了能够更好的学习使用 DP-51S 单片机访真实验仪的系统资源,电 路中为用户保留有许多应用接口。 (4)电源插座 POWER 该电源插座 POWER 为 DP-51S 单片机访真实验

29、仪提供适合的工作电 源。请使用陪到的+9V 专用电源,以避免损坏电路组件,当 DP-51S 中外 机访真实验仪连接+9V 专用地安源后,电源指示 POWER 亮,说明供电正 常,否则应检查电源电路。 (5)串口 COM1、COM2 COM1 目标号为 RS232,外形是 DB9 形式,是单片机的 RS232 输出, 图 3.11 DP-51S 单片机仿真实验仪 第- 22 -页 共 31 页 可以通过串行通信电缆与计算机进行串口通行,现实数据信息的交换。而 COM2 口标号为 UART,外形是 DB9 针式,直接是但片机的串中信号线输 出,因此可以完成单片机的串口信号线输出,因此可以完成单片机

30、之间的 相互通信。 (6)+5V:电源输出接口 J3 通过 J3 接口,DP-51S 单片机访真实验仪能 QGV、200mA 的直流电 源。方便用户开发自己的应用电路。注意,输出电流不可大雨 200mA,否 则将影响 DP-51S 实验仪正常工作。 (7)D/A 转换电源输入 J6 和接口 J6 为单片机访真实验仪的 D/A 及其相关电路提供+12V 和- 12V 工作电源,这样用户可以直接得到较为精确、正负对称的模拟量信号。 J6 的引脚排列如图 3.17 所示,各引脚的具体功能描述如表 3.4 所示 (8)A/D 主那换模拟量输入接口 J7 该接口为用户提供了 6 路 A/D 转换输入通道

31、(分别为 Vin2-Vin7) , 用户可以能过该接口采集、测量各种物理量,当然输入的有效信号应该是 该物理量被转化后所对应的电压值,且输入的电压信号必须保证在:0-5V 之间,而别外 2 路 A/D(Vin0 和 Vin1)已经通过 2 个精密可调动电位器 直接接到了电源+5V 上,这样用户可以调节电位器从而实现改变该通道输 入模拟量的大小,给大家调试实验提供了方便。 (9)单片机晶振接口 Y1 DP-51S 单片机访真实验仪为拥护提供了一个可自由选择晶体频率的 接口,拥护根据自己的实际需要使用大小不同的晶体。 第- 23 -页 共 31 页 3.23.2 DP-51SDP-51S 单片机仿

32、真实验仪功能特点单片机仿真实验仪功能特点 (1)用户可使用自带的 P87C52X2 单片机来进行仿真调试响应的单片 机用户和序,也可以选配具有 ISP 功能的 P89C6Xx2,P89C51RX2、P89C66x 单片机作为目标 MCU,且工作晶振频 率可选:1-12MHz。 (2)板上集成从多常用接口电路:32KSRAM 芯片 62C253:3 个独立 LED 显示、3 个独立按键;6 个 8 段数码管与 8 按键组成的键盘/显示电路: 12C 借口实时时钟芯片 PCF8563T:FC 接口 EPROM 芯片 24WC02;RS232 串行通讯接口芯片 MAX232;串行显示 2 个 8 段

33、数码管 电路;字符液晶显示屏接口;无源蜂鸣器电路 NUZZER;红外接收电路 RFIN;阻容复位电路、电源监控电路 MAX810。这此实用借口电路涵盖了 MCU 的各个应用领域,能够提供用户领先的应用设计方法。 (3)电路信号的切换通过跳线器进行选择,可靠性高,使用方便。 (4) 提供实验电路的各部分原理图,提供各个实验课题的汇编程序 A51 源代码,浅显易懂,入门方便,使用户快速掌握应用系统的设计方法。 (5)预留 ISP 接口,支持 PHILIPSISP 单片机(如 P89c51rx2。P89C66x、P89C6xX2 等)的 ISP 编程。 (6)通过 MON51 接口与高度软件协会配合

34、,可按单步、断点、连续 等方式测试实际应用程中; 第- 24 -页 共 31 页 (7)进行实时操作系统的学习,如 RTXTiny 实时操作系统、uC/OS- KI 实时操作系统、SmallRTOS 实时操作系统等。RTXTiny 实时操作系统 中德国 KeiSoflware 公司设计的,关于是 SmallRTOS 实时操作系统的源码 可以在 http:/ 网站下载,至于详细的设计思想、就用设计 实例和中间件,请参考基于 80C51 单片机的 SmallRTOS 实时操作系统 的设计原理及其应用专著。有了这样的基础您就有了从 8 位的单片机过 渡到 32 位 ARM 的扎实功底,如果你的基础比

35、较好,还可以深入学习。 uC/OS-实时操作系统,不仅可以在 80C51 单片机上使用,而且是可以十 分方便地移植到 32 位 ARM 上使用 (8)利用 DP-51S 单片机仿真实验仪引用的 CPU 信号,可以边接自 己的实际应用系统。 第- 25 -页 共 31 页 4.4.应用系统仿真软件应用系统仿真软件 KeilKeil C51C51 4.14.1 KeilKeil C51C51 介绍介绍 KeilC51 mVision2 集成开发环境是 KeiSoftwre,lnc/KeilElektronikGmbH 开发的基于 80C51 内核的 微处理器软件开发平台,内以多种符合当前工业标准的

36、开发工具, 可以完成从工和建立、管理,编译,连接,目标代码的生成,软 件访真,硬件访真等完整的开发流和。尤其 C 编译工具在产生代 码的准确性和效率性达到了较高的水平,而可以附加灵活的控制 选项。KeilC51 集成开发环境的主要环境的主要功能是以下几点: (1)mVision2 for WindowsTM:是一个集成开发环境,它 将项目管理,源代码编辑和程序调试等组合在一个功能强大的 Z1 环境中。 (2)C51 国际标准优化 C 交叉编译器:从 C 源代码产生可重 定位的口标模块。 (3)A51 宏汇编器:从 80C51 汇编冤代码产生可重定位的口 标模块。 第- 26 -页 共 31 页

37、 (4)BL51 连接/定位器:组合由 C51 和 A51 产生的可重定位 的目标模块,生成绝对目标模块。 (5)LIB51 库管理器:从口际模块生成连接器可以使用的库 文件。 (6)OH51 目标文件至 HEX 格式的转换器:从绝对目标模块 生成 IntelHEX 文件。 (7) RTX-51 实时操作系统:简化了复杂的实时应用软件项 口的设计。 这个工具套件足为专业软件开发人员设计的,但任何层次 的编程人员都可以使用,并获得 80C51 微控制器的部分应用。 4.24.2 KeilKeil C51C51 集成工具和用途集成工具和用途 mVision2 支持所有的 Keil80C51 的工具

38、软件,包括 C51 编译器、宏 汇编器、连接器/定位器和目标文件至 Hex 格式转换器,mVision2 可以自 动完成便宜、汇编、连接程序的操作。 (1)C51 便宜器和 ASI 汇编器 由 mVision21DE 创建的潭文件,可以被 C51 便宜器或 A51 汇编器处 理,生成可重定位的 object 文件。KeilC51 以直接支持 80C51 结构的特性。 KeilA51 宏汇编器支持 80C51 及其派声系列的怕有指令集。 (2)LIB51 库管理器 BL51 库管理器可以从由汇编器和便宜器创建的目标文件建立目标库。 这些库是按规定格式排列的目标模块,可在以后被连接器所使用。当连接

39、 第- 27 -页 共 31 页 器处理一个库时,仅仅使用了库中程序使用的目标模块而不是全部加以引 用。 (3)BLSI 连接器/定位器 BL51 连接器使用从库中提取出来的目标模块和便宜器,汇编器生成 的目标模块,创建一个绝对地址目标模块。绝对地址目标文件或模块包括 不可重定位的代码和数据,所有的代码和数据都被个在具体的存储器单元 中。 (4)mVision2 软件调试器 mVision2 软件调试器能十分理想地进行快速,可靠的程序调节,调 试器包括一个同速模拟器,您可以使用它模拟整个 80C50 系统,包括片上 外围器件和外部器件,当您从器件数据库选择时,这个器件的属性会被自 动配置。 (

40、5)mVision2 硬件调试器 mVision2 调试器响您提供厂几种在实际目标硬件上测试程序的方法。 按 MON51 目标监控器到您的目标系统,并通过 monitor-51 接口下在你的 程序,使用高级 GDI 接口,将 mVision2 调试同类似于 DP-51S 单片机仿真 实验仪或者 TKS 系列仿真器的硬件系统相连接,通过 mVision2 的人机交 互环境指挥连接的硬件完成仿真操作。 (6)RTX51 实时操作系统 RTX51 实时操作系统是针对 80C51 微控制器系列的一个多任务内核。 RTX51 实时内核简化厂需要对实时事件进行反应的复杂应用的系统设计、 编程和调试。这个内

41、核完全集成在 C51 编译器中,使用非常简单,任务描 第- 28 -页 共 31 页 述表和操作系统的统一性由 BL51 连接器/定位器自动进行控制。 此外 Vision2 还只有极强的软件环境,友好的操作界面和简单快捷的 操作方法,主要表现在以下几点:(1)丰富的菜单栏;(2)可以快色选 择命令按钮的工具栏;(3)一些源代码文件窗口;(4)对话框窗口; (5)直观明了的信息显示窗口。 5.5.软件设计软件设计 5.15.1 显示模式设计显示模式设计 一、显示灯的排列: 二、显示模式: 以上是对电灯显示模式分析出来的表格,每个不同的模式号对应不同的显 示状态,对应的参数也不同。 模式号显示状态

42、参数 08 L1L6 全亮 P1=FFH,P0=FFH 07 L1L8 亮,L9L16 不亮 P1=FFH,P0=00H 06 L1L8 不亮,L9L16 亮 P1=00H,P0=FFH 05 L1L16 奇数亮,偶数不亮 P1=AAH,P0=AAH 04 L1L16 偶数亮,奇数不亮 P1=55H,P0=55H 03 L1、L2、L5、L6、L9、L10、L13、L14 亮 P1=CCH,P0=CCH 02 L3、L4、L7、L8、L11、L12、L15、L16 亮 P1=33H,P0=33H 01 L1L4、L9L12 亮 P1=F0H,P0=F0H L1L2L3L15L14L13L12L

43、11L10L9L8L7L6L5L4L16 第- 29 -页 共 31 页 本装置在使用中,是用到定时器来控制不同的闪烁方式的。当一个完 整的闪烁结束后,即可转入新的闪烁方式。 5.25.2 程序流程图程序流程图 5.2.15.2.1 主程序流程图主程序流程图 第- 30 -页 共 31 页 5.2.25.2.2 中断服务程序流程图中断服务程序流程图 第- 31 -页 共 31 页 5.35.3 程序设计程序设计 以下是城市灯光闪烁控制装置的完整源程序: * 主程序 * MAIN: MOV SP, #SP MOV 30H, #08H MOV P1, #FFH MOV P0, FFH CLR TR

44、0 TO: MOV TMOD, #01H MOV TH0, #3CH MOV TL0, #0B0H SETB TR0 T1: MOV 30H, #50 M8: CJNE 30H, #00H, M8 CJNE 31H, 08H, M7 MOV P1, FFH MOV P0, FFH DEC 31H SJMP T1 M7: CJNE 31H, 07H, M6 MOV P1, FFH MOV P0, 00H DEC 31H SJMP T1 M6: CJNE 31H, 06H, M5 MOV P1, 00H MOV P0, FFH DEC 31H SJMP T1 M5: CJNE 31H, 05H,

45、M4 第- 32 -页 共 31 页 MOV P1, F0H MOV P0, F0H DEC 31H SJMP T1 M4: CJNE 31H, 04H, M3 MOV P1, AAH MOV P0, AAH DEC 31H SJMP T1 M3: CJNE 31H, 03H, M2 MOV P1, CCH MOV P0, CCH DEC 31H SJMP T1 M2: CJNE 31H, 02H, M1 MOV P1, 55H MOV P0, 55H DEC 31H LJMP T1 M1: CJNE 31H, 01H MOV P1, 33H MOV P0, 33H MOV 31H, 08H

46、LJMP T1 * 中断服务程序 * INT1: PUSH 30H PUSH 31H CLR TR0 MOV TMOD, #01H MOV TH0, #3CH MOV TL0, #0B0H DEC 30H POP 31H POP 30H SETB TR0 RETI 6.6.城市灯光闪烁控制装置的调试城市灯光闪烁控制装置的调试 第- 33 -页 共 31 页 6.16.1 系统调试系统调试 6.1.16.1.1 检查硬件连接检查硬件连接 包括各元器件的正确使用,例如:地线、电源线的接口。检查电路板, 各线路是否正确连接,各元器件是否安全焊上,是否牢固等等。 6.1.26.1.2 检查软件系统检查

47、软件系统 1、根据系统的原理结构检查各流程图是否正确,再根据流程图来检 查程序是否也正确。 2、分别写出各指令的正确含义,包括中断定时延时时间和初始化时 方式字和控制口地址。 3、将所有程序组织起来,在软件环境下运行,检查程序是否正确。 通过对硬件和软件系统的认真检查,反复测试,结果系统检测成功,可以 进一步运行调试。 4、运行调试:在电脑输入程序后,各硬件连接正确无误时,接上电 源,输入命令开始运行调试。 6.26.2 测试结果测试结果 本系统基本上能符合设计者的要求,因条件所限还未有实际的运行。 第- 34 -页 共 31 页 7.7.毕业设计总结毕业设计总结 7.17.1 设计总结设计总

48、结 单片机的设计至今为止已经进入了令人鼓舞的阶段,在进行了长达两 个多月的时间的摸索与实验,使我不仅仅是对于单片机入门软件与硬件的 常用设计与功能,还使我对于一项设计研究的制作过程所需要的详细步骤 和具体的实现方法的力度的掌握。基于此作品作为毕业设计的创作成果, 在当其中机器的功能等方面并非处于一个成熟的阶段,而且仅仅是因为向 延元老师的要求以及我们的初步尝试,当中的缺点是无可非议地存在着。 当然在这次宝贵的毕业设计活动中,经验才是对于我们最大的收获, 而且还增强了自身对未知问题以及对知识的深化认识的能力,用受益匪浅 这个词语来概括这次难忘的活动我觉得再合适不过了。但是,光是完成了 作品还是不可以自我满足的,在从一开始的时候就怀着将作品制作得更加 人性化,更加令人满意,更加地使功能完美又方便地被应用领域这个最终 目的下,随着对单片机这门学科的认识加深,到达了拓展的程度,我想这 个目的将在不远的时期内被实现。 总之,这次设计从

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 研究报告 > 信息产业


经营许可证编号:宁ICP备18001539号-1