基于FPGA的16x16LED点阵毕业论文1.doc

上传人:来看看 文档编号:3920582 上传时间:2019-10-10 格式:DOC 页数:38 大小:927KB
返回 下载 相关 举报
基于FPGA的16x16LED点阵毕业论文1.doc_第1页
第1页 / 共38页
基于FPGA的16x16LED点阵毕业论文1.doc_第2页
第2页 / 共38页
基于FPGA的16x16LED点阵毕业论文1.doc_第3页
第3页 / 共38页
基于FPGA的16x16LED点阵毕业论文1.doc_第4页
第4页 / 共38页
基于FPGA的16x16LED点阵毕业论文1.doc_第5页
第5页 / 共38页
点击查看更多>>
资源描述

《基于FPGA的16x16LED点阵毕业论文1.doc》由会员分享,可在线阅读,更多相关《基于FPGA的16x16LED点阵毕业论文1.doc(38页珍藏版)》请在三一文库上搜索。

1、 编号 淮安信息淮安信息职业职业技技术术学院学院 毕毕业业论论文文 题 目基于 FPGA 的 LED 点阵设计 学生姓名王飞 学 号12012117 院 系电子工程系 专 业物联网应用技术 班 级115121 指导教师 许金星 顾问教师杨永 二一五年六月 摘 要 I 摘摘 要要 主要研究基于 VHDL 的 Led 点阵汉字滚动显示。首先描述了基于现场可 编程门阵(FPGA)的硬件电路,以及点阵显示汉字的原理; 然后在单个 16X16 LED 发光二极管点阵上滚动汉字的原理;最后给出了描述其功能的 VHDL 语 言程序设计方法。通过编程、调试、仿真、下载正确地实现了汉字滚动显示扫 描结果,其硬件

2、系统的实验验证也获得了与软件模拟仿真结论相吻合的结果。 关键词关键词:LED 点阵;FPGA;VHDL 语言;汉字滚动显示 Abstract II A Abstractbstract Primary research is based on FPGA, Led Scrolling dot matrix characters. First described based on field programmable gate array (FPGA) hardware circuit, as well as the principle character dot matrix display; a

3、nd then in a single 16X16 LED scrolling LED dot matrix on the principles of Chinese characters; Finally, the VHDL description language program of its functions design. Through programming, debugging, simulation, download the correct character scroll achieved scan results, the experimental verificati

4、on of its hardware and software are also obtained findings consistent with simulation results. Keywords: LED dot marix; FPGA; VHDL language; Chinese character scrolling display. 目 录 III 目目 录录 摘摘 要要I ABSTRACTII 第一章第一章 绪论绪论.1 1.1 课题背景1 1.2 LED 点阵的发展现状.2 1.2.1 国内外 LED 点阵的发展现状 .2 1.2.2 研究 LED 点阵的目的及意义 .

5、2 1.3 LED 点阵的发展趋势.3 1.4 论文结构4 第二章第二章 系统方案设计系统方案设计.5 2.1 设计任务与要求5 2.1.1 设计任务.5 2.1.2 设计要求.5 2.2 方案设计与比较5 2.2.1 方案设计.5 2.2.2 方案比较.5 2.3 扫描控制模块6 2.3.1 LED 的显示原理 6 2.3.2 LED 点阵的显示方式 7 2.4 LED 点阵汉字的存储.7 2.5 本章小结.8 第三章第三章 硬件设计硬件设计.9 3.1 功能要求9 3.2 硬件说明9 3.3 硬件设计9 3.3.1 串行通信模块9 3.32 LED 点阵屏及驱动电路 .10 3.33 LE

6、D 时钟芯片 .10 3.34 FPGA 控制模块.10 3.35 串行通信电路11 3.4 本章小结.12 第四章第四章 软件设计软件设计.13 4.1 十六进制计数器设计.13 4.2 列驱动设计14 4.3 字符样式设计15 4.4 本章小结.18 第五章第五章 基于基于 FPGA 的的 LED 点阵点阵19 5.1 FPGA 设计的特点.19 目 录 IV 5.2 开发环境介绍19 5.3 调试与仿真20 5.3.1 创建工程.20 5.3.2 编译前设置.20 5.3.3 全程编译.23 5.3.4 时序仿真.24 5.4 本章小结.26 第六章第六章 总结与展望总结与展望.27 6

7、.1 总结27 6.2 展望27 致致 谢谢.29 参考文献参考文献.31 第一章 绪论 1 第一章第一章 绪论绪论 1.1 课题课题背景背景 受到体育场馆用 LED 显示屏需求快速增长的带动,近年来,中国 LED 显 示屏应用逐步增多。目前,LED 已经广泛应用在银行、火车站、广告、体育场 馆之中。而随着世博会的临近,LED 显示屏将广泛的应用在体育场馆以及道路 交通指示中,LED 显示屏在体育广场中的应用将出现快速增长。因此研究 LED 汉字滚动显示屏的设计方法具有重要的理论和现实意义。 随着我国经济的高速发展,对公共场合发布信息的需求日益增长,利用 LED 点阵滚动显示汉字的出现正好适应

8、了这一市场需求,已经成为信息传播的一种 重要手段。采用传统方法设计的汉字滚动显示器,通常需要使用单片机、存储 器和制约逻辑电路来进行 PCB 板级的系统集成。尽管这种方案有单片机软件的 支持较为灵活,但是由于受硬件资源的限制,未来对设计的变更和升级,总是 难以避免要付出较多研发经费和较长投放市场周期的代价。随着电子设计自动 化(EDA)技术的进展,基于可编程 FPGA 器件进行系统芯片集成的新设计方 法,也正在快速地到代基于 PCB 板的传统设计方式。因此,本设计的研究是很 有必要的,之所以基于 FPGA 设计是因为现场可编程门阵列(FPGA)设计周期 小,灵活度高,适合用于小批量系统,提高系

9、统的可靠性和集成度。并且采用 编写灵活的 VHDL 语言编写主程序。 如图 1-1 所示: 图 1-1 LED 点阵的应用 淮安信息职业技术学院毕业设计论文 2 1.2 LED 点点阵阵的的发发展展现现状状 1.2.1 国内外国内外 LED 点点阵阵的的发发展展现现状状 LED 照明发展非常迅速,年增长率超过 60%,随着 LED 发光效率的不断提 升,封装技术不断改进,驱动陆能和寿命的增加,LED 照明技术在未来 5 年内 会逐渐进入千家万户。在世界各国环保议题日渐重视的趋势下,LED 照明产业 将扮演极重要的角色,其主要应用在于室内、室外照明以及街灯等高功率产品。 据悉,2009 年全球照

10、明市场约 1219 亿美元,LED 仅占 0.5%,显见其未来潜力之 可观。其中,以亚太地区的市场规模为最大、约占全球 33.7%之比例,居次为北 美的 30.1%和欧洲之 27.4%。以应用产品来讨论,户外照明约占 12%,则具有相 当大的成长空间,尤其以占有全球 38%户外照明的中国市场为最。此外,值得 关注的部分是受政府政策及推广影响较为直接且快速的街灯应用可望成为照明 产业中快速成长的第一棒。预估在欧美优先领起的趋势中,2010 年全球可达到 450 万盏 LED 街灯的水平,并且承于国际加紧节能减碳的脚步,一但路灯标准 规格普及,中国市场可望占有世界 50%以上的规模。 LED 驱动

11、器技术的发展体现在两个方面:第一,离线式高功率因数校正可 调光 LED 驱动器可替代卤素灯、白炽灯和荧光灯;第二,LED 驱动器能高效替 代低压卤素灯。以上两种应用需要为 LED 提供电能及热能保护,以增加其耐用 性。其他发展趋势还包括优化驱动器以提供最佳功效,并非将电流最大化。 基于 FPGA 芯片控制全彩 LED 大屏幕图像显示系统系统设计随着数字技术 的飞速发展,各种数字显示屏也随即涌现出来有 LED、LCD、DLP 等,各种数 字大屏幕的控制系统多种多样,有用 ARM+FPGA 脱机控制系统,也有用 PC+DVI 接口解码芯片+FPGA 芯片联机 LED 显示板控制系统。尽管大尺寸液晶

12、 显示在未来的几年还有相当大的市场份额,但针对大尺寸直下式背光源的驱动 和控制芯片的开发才刚刚有几年的历史。针对 LED 背光源的特点,可以将复杂 的控制技术和信号处理技术融合到背光源技术中,目前的做法是采用 FPGA,各 家均有不同的算法和控制方法。随着背光技术和数字电视技术的发展,背光的 控制算法及驱动方法的规范化,为了降低成本,将背光控制单元、屏显控制和 电视的机芯微处理器由一个微处理器统一实现将是一种技术趋势。 1.2.2 研究研究 LED 点点阵阵的目的及意的目的及意义义 随着我国经济的高速发展,对公共场合发布信息的需求日益增长,利用 LED 点阵滚动显示汉字的出现正好适应了这一市场

13、需求,已经成为信息传播的一种 重要手段。 采用传统方法设计的汉字滚动显示器,通常需要使用单片机、存储器和制 约逻辑电路来进行 PCB 板级的系统集成。尽管这种方案有单片机软件的支持较 为灵活,但是由于受硬件资源的限制,未来对设计的变更和升级,总是难以避 第一章 绪论 3 免要付出较多研发经费和较长投放市场周期的代价。随着电子设计自动化 (EDA)技术的进展,基于可编程 FPGA 器件进行系统芯片集成的新设计方法, 也正在快速地到代基于 PCB 板的传统设计方式。因此,本设计的研究是很有必 要的,之所以基于 FPGA 设计是因为现场可编程门阵列(FPGA)设计周期小, 灵活度高,适合用于小批量系

14、统,提高系统的可靠性和集成度。并且采用编写 灵活的 Verilog HDL 语言编写主程序。 1.3 LED 点点阵阵的的发发展展趋势趋势 高亮度 LED 为未来趋势:高亮度 LED 的三大市场为:汽车车灯市场、交 通市场及全彩 LED 显示屏。 (1)汽车车灯市场方面,红色高亮度 LED 应用于汽车第三煞车灯,而左 右尾灯、方向灯及车边标识灯,可使用红色或黄色高亮度 LED,而汽车仪表板 上则需要各种颜色的高亮度 LED,故汽车市场商机庞大。 (2)交通号志方面,使用高亮度 LED 主要为节省能源,且在阳光照射下 可仍清楚辨识。依 据资料显示,目前全球约有 2000 万座交通号志,而每一个

15、红、黄、绿灯估计需要使用 200 颗高亮度 LED,故一座交通号志约需 600 颗 高亮度 LED,如果 考虑每年新设的交通号志加上更换旧交通号志,估计每年 大约有 200 万座,以每座更新成本约 1.5 万台币计算,未来每年全球交通号志 估计约有 300 亿的市场价值。 (3)全彩 LED 显示屏方面,目前高亮度 LED 已可以产生红、绿、蓝三 原色的光,组成大型全彩 LED 显示屏,目前大型 LED 看板的使用以日本、中 国、香港、韩国、台湾、新加坡等亚洲地区为主,欧洲及美国其次。中国大陆 运用大型户外 LED 看板宣达政令,故有其一定之需求;欧洲方面,常见的应 用是文字显示及 气象预报图

16、像,另也盛行使用 LED 显示屏做为广告招牌。目 前目前高亮度 LED 厂商持续 costdown,市场接受度已逐渐提高,且高亮度 LED 价格高于传 统 LED,厂商投入意愿较大,未来可望逐渐取代传统亮度 LED 市场。 目前,基于 FPGA(现场可编程门阵列)的 LED 显示屏的设计应用广泛, 美国和中国台湾地区逻辑电路设计和制造厂家大都以 Verilog HDL 为主,中国大 陆地区目前学习使用 Verilog HDL 已经超过 VHDL。从使用的角度看,对于集成 电路(ASIC)设计人员来说,多是掌握 verilog,因为在 IC 设计领域,90以上 的公司都是采用 verilog 进

17、行 IC 设计。而对于 PLD/FPGA 设计者而言,两种语 言没有太大差别。 淮安信息职业技术学院毕业设计论文 4 1.4 论论文文结结构构 论文主要从方案论证及选择、硬件电路设计、系统软件设计、制作与调试 等几大方面来介绍基于 FPGA 的 LED 显示屏的设计和制作过程。其中方案论证 及选择主要从两种可以实现基于 FPGA 的 LED 显示屏的设计方案中选择一个成 本低、易操作、系统性能较高的方案。其中主要设计包括:系统软件设计、 ROM 存储、点阵汉字字模的提取。系统软件设计主要是设计 FPGA 芯片进行控 制所需要的相关程序,这部分程序采用 Verilog 语言进行编写。最后的制作与

18、调 试主要是进行 ROM 和点阵汉字的显示并对点阵个点扫描显示进行相关测试,检 测是否能达到设计原理实现的功能。最后总结完善设计思路与程序,正确完成 汉字的现实与滚动。 第二章 系统方案设计 5 第二章第二章 系统方案设计系统方案设计 2.1 设计设计任任务务与要求与要求 2.1.1 设计设计任任务务 (1)设计一个 1616 的 LED 点阵显示器; (2)在设计过程中,EDA 试验箱进行仿真调试。 2.1.2 设计设计要求要求 (1)输出预定义“淮、安、信、息”四个汉字; (2)输出汉字循环显示; (3)操作方便、可维护性高; (4)程序简捷,便于修改; 2.2 方案方案设计设计与比与比较

19、较 2.2.1 方案方案设计设计 方案一:本设计所使用的 1616 的点阵,EDA 实验箱上有其接口电路,列 选信号为 SEL0,SEL1,SEL2,SEL3,经 4 线 16 线译码器输出 16 列,从左起为第 一列,列选信号是由一个 4 位向量 SEL30控制;行选信号为 H0H15,是由 16 个行信号组成的,每一行由一个单独的位来控制,高电平有效。例如“0000”表示 第 0 列,“0000000000000001”表示第一行的点亮。由于列是由一个向量决定,而 每一时刻的值只能有一个固定的值,因而只能使某一列的若干个点亮,因此就 决定了只能用逐列扫描的方法。例如要使第一列的 2,4,6

20、,8,行亮,则列为“0001”、 行为“0000000010101010”就可以实现了。 方案二:VHDL 程序设计的是硬件,他和编程语言的最大区别是它可以“并 发执行”。本设计可以将 LED 显示屏要的显示内容抽象成一个二维数组(数组中 的1对映点阵显示屏上面的亮点),用 VHDL 语言设计一个进程将这个数组动 态显示在 LED 显示屏上,再利用另一个进程对这个数组按一定频率进行数据更 新,更新的方式可以有多种。因为两个进程是同时进行的(并发执行),如果 对数组中的汉字数据按滚动的方式更新,则可实现汉字的滚动显示。如图 2-1 为 该方案原理图。 更新数组数据二维数组动态显示 图 2-1 方

21、案原理图 淮安信息职业技术学院毕业设计论文 6 2.2.2 方案比方案比较较 方案一很容易实现,而且占用 FPGA 的资源较少。但是由于其实现方式 的局限性,该方案只能实现汉字的滚动显示。方案二中将 LED 点阵抽象成了 一个二维数组。可以设计一些比较复杂的算法来控制这个数组,使设计的系统 不但可以滚动显示汉字,还可以扩展一些其它的显示效果。但是方案二中对数 组的处理部分对 FPGA 芯片的资源消耗太大学校实验室里的 EPF10K10LC84-4 芯片只有 576 个逻辑单元远远不够设计要求。所以最终选择方案一。 2.3 扫扫描控制模描控制模块块 2.3.1 LED 的的显显示原理示原理 16

22、16 扫描 LED 点阵的工作原理同 8 位扫描数码管类似。它有 16 个共阴 极输出端口,每个共阴极对应有 16 个 LED 显示灯,所以其扫描译码地址需 4 位 信号线(SEL0-SEL3) ,其汉字扫描码由 16 位段地址(0-15)输入。 通过时钟 的每列扫描显示完整汉字。 图 2-2 LED 灯信号 第二章 系统方案设计 7 图 2-3LED 等效电路 2.3.2 LED 点点阵阵的的显显示方式示方式 点阵 LED 一般采用扫描式显示,实际运用分为三种方式: (1)点扫描 (2)行扫描 (3)列扫描 若使用第一种方式,其扫描频率必须大于 1664=1024Hz,周期小于 1ms 即可

23、。若使用第二和第三种方式,则频率必须大于 168=128Hz,周期小于 7.8ms 即可符合视觉暂留要求。此外一次驱动一列或一行(8 颗 LED)时需外加 驱动电路提高电流,否则 LED 亮度会不足。 2.4 LED 点点阵汉阵汉字的存字的存储储 用动态分时扫描技术使 LED 点阵模块显示图像,需要进行两步工作。第一 步是获得数据并保存,即在存贮器中建立汉字数据库。第二步是在扫描模块的 控制下,配合行扫描的次序正确地输出这些数据。获得图像数据的步骤是,先 将要显示的每一幅图像画在一个如图 2-4 所示的被分成 1616 共 256 个小方格 的矩形框中,再在有笔划下落处的小方格里填上“1”,无

24、笔划处填上“0”, 这样就形成了与这个汉字所对应的二进制数据在该矩形框上的分布,再将此分 布关系以 3216 的数据结构组成 64 个字节的数据,并保存在只读存贮器 ROM 中。以这种方式将若干个汉字的数据贮存在存贮器内,就完成了图像数据库的 建立工作。 淮安信息职业技术学院毕业设计论文 8 图 2-4 1616 LED 点阵模块 2.5 本章小本章小结结 本章主要讲述设计任务与要求,方案的设计与比较。并对扫描控制模块和 LED 点阵汉字的存储做了进一步介绍,分析了 LED 点阵的工作原理。 第三章 硬件设计 9 第三章第三章 硬件设计硬件设计 3.1 功能要求功能要求 设计一个室内用 161

25、6 点阵 LED 图文显示屏,要求在目测条件下 LED 显示屏各点亮度均匀、充足,可显示图形和文字,显示图形或文字应稳定、清 晰无串扰。图形或文字显示有静止、移入移出等显示方式。 3.2 硬件硬件说说明明 FPGA 芯片采用 ALTERA 公司的 CYCLONE 系列 EPlC3T144C8。 EPlC3T144C8 内部包含 2910 个逻辑单元,104 个 IO 引脚,13 块 128*36bit 的 RAM 共 52K,适合设计双 DRAM、ROM 和 FIFO 等器件,还有一 个可编程触发器和一个给进位和层叠功能专用的信号通道。为了提高 FPGA 的 工作速度,ALTERA 的 FPG

26、A 芯片普遍采用了锁相环技术。时钟可以通过 FPGA 内建的锁相环进行倍频,使得较慢的外部时钟在 FPGAI 为部驱动高速电 路工作。 单片机采用深圳宏晶科技的 STC89C52RC。STC89LE52RC 是一款低功耗、 高速且抗干扰能力强的单片机。指令代码完全兼容传统的 8051 单片机,它不但 具有普通 51 核单片机的特点,而且增加了新的功能。在 5V 电压工作下,提供 最高 80MHz 的时钟频率。内部 RAM 加大到了 512 字节,FLASH 存储器为 8K,EEPROM 为 2K,增加了 P4 口,可进行双倍速设定,增加了看门狗,防止 死机功能。抗干扰与防解密方面都比普通的 5

27、1 单片机强。在程序下载方面,无 需使用专门的编程器和下载线,只要一根 9 针的串口线就可以实现程序的在线 烧写。 数模转换器采用转换速率为 10M 的双通道并行电流输出型 DA 转换器 TLC7528。双路的 DA 输出都已经用运放 TL082 进行电流到电压的转换,并且 双路输出都可以用跳线帽设置成单极性输出,双极性输出。也可以将两个通道 结合起来,实现幅度程控输出。数据采集同样使用德州仪器的 TLC5510,最高 采样率为 20M。用于数据采集,任意信号的输入。 存储器使用 64K 的,2C 总线控制的 FLASH 存储器,和 512K8 的高速 IS61LV5128 的静态存储器,它拥

28、有 64MB 的存储空间,满足数据的存储要求。 另外设计有丰富的人机界面。4*4 的行列式键盘输入,有 AS 配置模式和 JTAG 配置模式的接口,另有液晶显示器的接口,便于数据的获取。 3.3 硬件硬件设计设计 3.3.1 串行通信模串行通信模块块 输入接口模块提供 PC 上位机到 FPGA 核心板传输数据的接口。输入接口是 通过串口即 RS232 以及 JTAG 下载线来实现从 PC 上位机传输数据至下位机。上 淮安信息职业技术学院毕业设计论文 10 位机使用字模提取工具将待显示的数据发送至下位机, JTAG 下载线实现 PCNios系统间的通信。FPGA 核心板与 LED 显示模块之间的

29、通信也是通过 RS232 串口实现的。 3.32 LED 点点阵阵屏及屏及驱动电驱动电路路 本设计采用 1616LED 点阵屏由 4 块 88LED 点阵拼接而成,每一块点阵 都有 8 行 8 列,因此总共有 16 根行控制线和 16 根列控制线。 3.33 LED 时钟时钟芯片芯片 DS1302 是 DALLAS 公司推出的涓流充电时钟芯片,内含有一个实时时钟/ 日历和 31 字节静态 RAM。同时,可以提供秒分时、日期、年月信息,每月的 天数和闰年的天数可自动调整。时钟操作可通过 AM/PM 指示决定采用 24 或 12 小时格式。 3.34 FPGA 控制模控制模块块 该部分电路是系统控

30、制和数据处理的核心,主要由电源接口及开关及相应 的时钟振荡电路和复位电路组成。 a.电源接口及开关电路 如图 3-1 所示,其中 F1 为限流 1.1A 的 F110 保险管,在电源的保护上起到了 很大的作用。 2 3 1 U19 BW F1 1 2 3 J1 104 C48 106 C9 DGND DGNDDGND DGND VCC 图 3-1 电源接口及开关电路 b.复位电路 如图 3.2 所示,该复位电路可以实现对系统的初始化作用。当没有按下时, KEY 读取到高电平。按下键时,KEY 拉低。一次复位后产生一脉冲信号,下降 沿时触发芯片复位。 如图 3-3 所示,X1 为 20MHz 的

31、有源晶振。 第三章 硬件设计 11 图 3-2 复位电路 c.时钟振荡电路 如图 3-3 所示,时钟振荡电路。 VCC 1 NC 2 GND 3 OUT 4 U1 20M HZ 12 FB1 104 R6 3.3V DGND GDND 图 3-3 时钟振荡电路 3.35 串行通信串行通信电电路路 串行通信电路由 RS232 串口电路和 JTAG 接口电路组成。RS232 串口用于 上位机与下位机的数据传输,JTAG 接口用于程序下载与调试 a.RS232 串口电路 FPGA 的电平为 TTL 电平(即:高电平 +3.3V,低电平 0V),而计算机 串口电平为 RS232 电平(即:高电平 -1

32、2V,低电平 +12V),所以,计算机与 单片机之间进行通讯时需要加电平转换芯片。RS232 串口电路如图 3-4 所示: 图 3-4 RS232 串口电路 淮安信息职业技术学院毕业设计论文 12 图 3-4 中,RS232 串口电路使用 MAX232CPE 作为电平转换芯片,通过串口 线连接到计算机的 COM 口(9 针 D 形口),用于 FPGA 与上位机通信以及和其他 串口设备的数据交互。 b.JTAG 下载接口 JTAG 下载接口电路如图 3-5 所示,用于调试 FPGA。JTAG 下载不仅下载 速度快,而且支持 SignalTAP,但是,不能编程 EPCS 芯片,掉电后数据丢失。 使

33、用 JTAG 时需要配合 USB Blaster 进行下载调试。 图 3-5 JTAG 下载接口电路 3.4 本章小本章小结结 本章主要讲述硬件电路的功能要求,对硬件结构做了详细的说明。并逐一 介绍了串行通信模块、LED 时钟芯片、FPGA 控制模块、串行通信电路的设计 及使用等,分析了主要器件的作用,介绍了各部件在电路中的连接情况。 第四章 软件设计 13 第四章第四章 软件设计软件设计 4.1 十六十六进进制制计计数器数器设计设计 COUNT16.vhd 是十六进制的计数器,其输出端控制行和列驱动控制器的输 出数据;其描述如下: LIBRARY ieee; USE ieee.std_log

34、ic_1164.all; LIBRARY lpm; USE lpm.all; ENTITY cnt16 IS PORT (clock: IN STD_LOGIC ; q: OUT STD_LOGIC_VECTOR (7 DOWNTO 0); END cnt16; ARCHITECTURE SYN OF cnt16 IS SIGNAL sub_wire0 : STD_LOGIC_VECTOR (7 DOWNTO 0); COMPONENT lpm_counter GENERIC ( lpm_direction: STRING; lpm_port_updown: STRING; lpm_type:

35、 STRING; lpm_width: NATURAL); PORT (clock : IN STD_LOGIC ; q : OUT STD_LOGIC_VECTOR (7 DOWNTO 0); END COMPONENT; BEGIN q “UP“, lpm_port_updown = “PORT_UNUSED“, lpm_type = “LPM_COUNTER“, lpm_width = 8 ) PORT MAP ( clock = clock, q = sub_wire0 ); END SYN; 淮安信息职业技术学院毕业设计论文 14 如图 3-2 所示: 图 3-2 COUNT16.v

36、hd 仿真波形图 从上图可以看出,该模块为十六进制的计数器,当 CLK 给予脉冲时输出为 前一个数值加 1,例如:在 104.86ms 前输出为 0AH,在这个时刻 CLK 给予触 发脉冲, 104.86ms 后输出值为 0BH。可以看出该模块满足设计要求。 4.2 列列驱动设计驱动设计 通过对每一列的扫描来完成对字母的现实,只要扫描的频率足够快,就能 给人以连 续的感觉。因此要控制扫描的频率,不能太低,否则,就会造成视觉 上的不连续,本设 计的扫描频率不得低于 50Hz, 扫描程序如下: Library ieee; use ieee.std_logic_1164.all; use ieee.

37、std_logic_unsigned.all; Entity dz_xs is Port(enable,clk:in std_logic; -端口定义 输入信号 Sel:out std_logic_vector(3 downto 0); -端口定义 输出信号 End dz_xs; Architecture count of dz_xs is Signal lie:std_logic_vector(3 downto 0); Begin Process(clk,enable) -脉冲、使能信号 begin If clkevent and clk=1then If enable=1 then If

38、lie“0000“ then Lie14 then Skeyckeyckeyckeyckeyckeyckeyckeyckeyckeyckeyckeyckeyckeyckeyckeyckeyckeyrkeyrkeyrkeyrkeyrkeyrkeyrkeyrkeyrkeyrkeyrkeyrkeyrkeyrkeyrkeyrkeyrkeyrkeyrkeyrkeyrkeyrkeyrkeyrkeyrkeyrkeyrkeyrkeyrkeyrkeyrkeyrkeyrkeyrkeyrkeyrkeyrkeyrkeyrkeyrkeyrkeyrkeyrkeyrkeyrkeyrkeyrkeyrkeyrkeyrkeyrk

39、eyrkeyrkeyrkeyrkeyrkeyrkeyrkeyrkeyrkeyrkeyrkeyrkeyrkeyrkeyrkeyrkeyr=X“FFFF“; end case; end if; end process; end one; 4.4 本章小本章小结结 本章主要讲述系统软件的设计方案,包括十六进制计数器的设计、列驱动 设计、字符样式的设计。 第五章 基于 FPGA 的 LED 点阵 19 第五章第五章 基于基于 FPGAFPGA 的的 LEDLED 点阵点阵 5.1 FPGA 设计设计的特点的特点 FPGA 通常被认为是 ASIC 实现的一种替代手段. 一般 ASIC 包括三种, 既 全

40、定制、半定制(含标准单元和门阵列) 以及可编程器件。对于前两种, 需要支付 不可重复使用的工程费用 NRE (Non recurring Engineering) , 主要用于芯片的流片、 中测、分析的工程开销, 一次费用一般在 1 万至数万美元以上。如果一次不成 功、返工、甚至多次返工,NRE 费用将要上升。成本高、风险大, 而通常对每个 ASIC 品种的需求量往往不大,NRE 费用分摊到每个产品上价太高, 用户无法接 受。而对于可编程器件 PLD (Programmable Logic Device) 正是可以解决上述问 题的新型 ASIC, PLD 以其操作灵活、使用方便、开发迅速、投资

41、风险小等突出 优点, 特别适合于产品开发初期、科研样品研制或小批量的产品. FPGA 是一种 新型的 PLD, 其除了具有 PLD 的优点外, 其规模比一般的 PLD 的规模大。目前, Xilinx 推出的 XC4025 可以达到 25000 门的规模,Altera 公司的 FLEX10K100 系列芯片可达到十万门的规模,完全可以满足用户的一般设计需要。 FPGA 的主要特点是: 寄存器数目多, 采用查找表计数,适合时序逻辑设计。 但是互连复杂, 由于互连采用开关矩阵,因而使得延时估计往往不十分准确。 FPGA 也有其自身的局限性, 其一就是器件规模的限制,其二就是单元延迟 比较大。 所以,

42、 在设计者选定某一 FPGA 器件后, 要求设计者对器件的结构、 性能作深入的了解, 在体系结构设计时, 就必须考虑到器件本身的结构及性能, 尽可能使设计的结构满足器件本身的要求. 这样就增加了设计的难度。 离开对 FPGA 结构的详细了解, 设计人员就不可能优化设计。因而设计人 员必须了解 FPGA 器件的特性和限制, 熟悉 FPGA 的结构。 在了解 FPGA 结构特点的基础上, 就可以利用 VHDL 语言描写出高效的电 路描述实现性能优化的电路。 5.2 开开发环发环境介境介绍绍 Quartus II 是 Altera 公司的综合性 PLD 开发软件,支持原理图、 VHDL、 Veril

43、-ogHDL 以及 AHDL( Altera Hardware Description Language)等 多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬 件配置的完整 PLD 设计流程。 Quartus II 支持 Altera 的 IP 核,包含了 LPM/MegaFunction 宏功能模块 库,使用户可以充分利用成熟的模块,简化了设计的复杂性、加快了设计速度。 对第三方 EDA 工具的良好支持也使用户可以在设计流程的各个阶段使用熟悉 的第三方 EDA 工具。 淮安信息职业技术学院毕业设计论文 20 此外,Quartus II 通过和 DSP Builder 工具

44、与 Matl ab/Simulink 相结合,可 以方便地实现各种 DSP 应用系统;支持 Altera 的片上可编程系统( SOPC) 开发,集系统级设计、嵌入式软件开发、可编程逻辑设计于一体,是一种综合 性的开发平台。 5.3 调试调试与仿真与仿真 5.3.1 创创建工程建工程 在 Quartus II 中新建一个 VHDL File 文件,将 VHDL 代码输入这个文件, 并保存到工作目录,名为 yz_ok.vhd。利用 new preject wizard 工具创建一个工 程,工程名为 yz_ok, 顶层文件实体名为 yz_ok,并将上面创建的 yz_ok.vhd 文 件加入到工程中。

45、 5.3.2 编译编译前前设设置置 (1)选择目标芯片。用 assignmemts-settings 命令,弹出 settings 对话 框,选择目标芯片为 EP2C35F672C8。 图 5-1 选择目标器件 第五章 基于 FPGA 的 LED 点阵 21 (2)选择工作方式,编程方式,及闲置引脚状态单击上图中的 device 毛刺检测 Glitch detection 为 1ns 宽度; 选中 Run simulation until all vector stimuli 全程仿真。 现在所有设置进行完毕,在菜单 processing 项下选择 start simulation,直到 出现

46、 simulation was successful,仿真结束 。仿真文件 simulation report 通常会 自动弹出,否则选择 processingsimulation report 。 淮安信息职业技术学院毕业设计论文 26 图 5-7 仿真波形输出 5.4 本章小本章小结结 本章主要讲述了 FPGA 设计的特点、开发环境 Quartus II 的介绍以及调试与 仿真的具体步骤。 第六章 总结与展望 27 第六章第六章 总结与展望总结与展望 6.1 总结总结 通过对数字集成电路课程设计的学习,我对 VHDL 语言有了更加深刻的认 识。VHDL 是超高速集成电路的硬件描述语言,它能

47、够描述硬件的结构、行为 与功能。另外,VHDL 具有并发性,采用自上而下的结构式设计方法,适合大 型设计工程的分工合作。在编写程序的时候,我才发现能看懂程序和能自己写 程序是两个完全不同的概念,自己一开始写程序时,即便是一个很简单的功能 模块,在编译时也可能产生很多错误,在不断的改错过程中,自己对 VHDL 语 言的语法结构有了深刻的理解,对编译过程中常见的错误也有了全面的认识。 通过这十三周的课程设计,我在熟悉了基于 FPGA 设计的同时,也学到了很多 在学习课本知识时所体会不到的东西。 完成此次设计后,我不仅能对 Quartus II 开发仿真软件熟练操作,能达到学 以致用,同时还掌握了矩

48、阵键盘和 1616 点阵的工作原理。经过这一过程,我 发现平常的学习在注重理论知识的掌握同时,要加强实验环节,只有通过不断 地实践,我们才能把知识掌握的更牢固,理解的更透彻。 6.2 展望展望 通过该系统的研究与设计,不仅使我的专业理论和实践得到了很好的结合, 也锻炼了我在遇到难题时独立思考和解决问题的能力。由于本人项目实际开发 经验仍然不足,加上设计开发时间有限等原因,该系统还有一些不足之处。还 需要做的后续研发和完善工作有: (1)对于通信中由于环境干扰或人为因素造成的数据传输出错等问题需要 考虑到,并给出有效的解决方法。这些问题的解决需要从硬件抗干扰、软件抗 干扰以及错误处理等方面来进行

49、。 (2)虽然目前大屏幕单色 LED 显示屏已经开始在各大公共场合使用,但 是彩色 LED 显示屏由于其良好的画面感将成为公共场合信息发布的重要媒介, 因此在社会生产、生活各领域中人们对彩色 LED 显示屏将会有很大的需求。 (3)本文所完成的硬件系统是一个基本系统,设计的显示屏仅为 16x16 点 阵,如果加大显示屏的面积,例如 40x128,则驱动必须加强,必须选择更大功 率的驱动电路。另外,本系统也只提供了 LED 点阵显示屏的基本功能。以后还 可以考虑加入其他功能,如加入温度显示(DBl820),加入监控单元的设计等。 淮安信息职业技术学院毕业设计论文 28 致 谢 29 致致 谢谢 在论文完成之际,我首先向关心帮助和指导我的指导老师许金星表示衷心 的感谢并致以崇高的敬意!。 在论文工作中,遇到了很多的困难,一直得到许金星老师的亲切关怀和悉 心指导,使我懂得了理论与实践结合的重要性。许金星老师以其渊博的学识、 严谨的治学态度、求实的工作作风和他敏捷的思维给我留下了深刻的印象,我 将终生难忘许金星老师的谆谆教诲。再一次向他表示衷心的感谢,感谢他为学 生营造的浓郁学术氛围,以及学习、生活上的无私帮助! 值此论文

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 其他


经营许可证编号:宁ICP备18001539号-1